r
/
pong
1
Fork 0
pong/Project.xpr

420 lines
18 KiB
XML

<?xml version="1.0" encoding="UTF-8"?>
<!-- Product Version: Vivado v2014.4 (64-bit) -->
<!-- -->
<!-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -->
<Project Version="7" Minor="2" Path="C:/Users/jrpotter/Documents/Vivado/Project/Project.xpr">
<DefaultLaunch Dir="$PRUNDIR"/>
<Configuration>
<Option Name="Id" Val="5f5d7ddd32cb4c0cb289603e426c9fed"/>
<Option Name="Part" Val="xc7a100tcsg324-1"/>
<Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
<Option Name="BoardPart" Val=""/>
<Option Name="ActiveSimSet" Val="sim_2"/>
<Option Name="DefaultLib" Val="xil_defaultlib"/>
</Configuration>
<FileSets Version="1" Minor="31">
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
<Filter Type="Srcs"/>
<File Path="$PSRCDIR/sources_1/imports/src/fulladder.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/fulladder.v"/>
<Attr Name="ImportTime" Val="1427243153"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/adder.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/adder.v"/>
<Attr Name="ImportTime" Val="1422244323"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/shifter.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/shifter.v"/>
<Attr Name="ImportTime" Val="1422244303"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/logical.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/logical.v"/>
<Attr Name="ImportTime" Val="1422243800"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/comparator.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/comparator.v"/>
<Attr Name="ImportTime" Val="1427258384"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/addsub.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/addsub.v"/>
<Attr Name="ImportTime" Val="1422128621"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/hexto7seg.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/hexto7seg.sv"/>
<Attr Name="ImportTime" Val="1424296296"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/xycounter.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/xycounter.sv"/>
<Attr Name="ImportTime" Val="1428520136"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/signExtension.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/signExtension.sv"/>
<Attr Name="ImportTime" Val="1428246139"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/initfile.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/initfile.sv"/>
<Attr Name="ImportTime" Val="1429120799"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/register_file.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/register_file.sv"/>
<Attr Name="ImportTime" Val="1429120421"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/alu.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/alu.v"/>
<Attr Name="ImportTime" Val="1428252342"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/display8digit.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/display8digit.sv"/>
<Attr Name="ImportTime" Val="1429211502"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/display640x480.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/display640x480.sv"/>
<Attr Name="ImportTime" Val="1429121006"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/vgatimer.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/vgatimer.sv"/>
<Attr Name="ImportTime" Val="1429121782"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/memory.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/memory.sv"/>
<Attr Name="ImportTime" Val="1429125092"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/smem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/smem.sv"/>
<Attr Name="ImportTime" Val="1429124805"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/dmem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/dmem.sv"/>
<Attr Name="ImportTime" Val="1429124887"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/datapath.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/datapath.sv"/>
<Attr Name="ImportTime" Val="1429109717"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/controller.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/controller.sv"/>
<Attr Name="ImportTime" Val="1428292272"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/bitmapmem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/bitmapmem.sv"/>
<Attr Name="ImportTime" Val="1429123744"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/keyboard.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/keyboard.sv"/>
<Attr Name="ImportTime" Val="1429211084"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/vgadisplaydriver.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/vgadisplaydriver.sv"/>
<Attr Name="ImportTime" Val="1429123865"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/mips.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/mips.sv"/>
<Attr Name="ImportTime" Val="1428885434"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/memIO.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/memIO.sv"/>
<Attr Name="ImportTime" Val="1429125666"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/imem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/imem.sv"/>
<Attr Name="ImportTime" Val="1429124362"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/debouncer.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/debouncer.sv"/>
<Attr Name="ImportTime" Val="1429124210"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/clockdiv.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/clockdiv.sv"/>
<Attr Name="ImportTime" Val="1428935501"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/top.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/top.v"/>
<Attr Name="ImportTime" Val="1429125034"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/bmem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/bmem_init.txt"/>
<Attr Name="ImportTime" Val="1429127840"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/smem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/smem_init.txt"/>
<Attr Name="ImportTime" Val="1429127827"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/dmem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/dmem_init.txt"/>
<Attr Name="ImportTime" Val="1429127819"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/imem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/imem_init.txt"/>
<Attr Name="ImportTime" Val="1429127811"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/regd_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/regd_init.txt"/>
<Attr Name="ImportTime" Val="1429128057"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<Config>
<Option Name="DesignMode" Val="RTL"/>
<Option Name="TopModule" Val="top"/>
</Config>
</FileSet>
<FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
<Filter Type="Constrs"/>
<File Path="$PSRCDIR/constrs_1/imports/src/master.xdc">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/master.xdc"/>
<Attr Name="ImportTime" Val="1428901792"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
</FileInfo>
</File>
<Config>
<Option Name="TargetConstrsFile" Val="$PSRCDIR/constrs_1/imports/src/master.xdc"/>
<Option Name="ConstrsType" Val="XDC"/>
</Config>
</FileSet>
<FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1">
<Filter Type="Srcs"/>
<File Path="$PSRCDIR/sim_1/imports/src/Lab10_test_sqr.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/Lab10_test_sqr.sv"/>
<Attr Name="ImportTime" Val="1429109784"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<Config>
<Option Name="DesignMode" Val="RTL"/>
<Option Name="TopModule" Val="mips_test_sqr"/>
<Option Name="TopLib" Val="xil_defaultlib"/>
<Option Name="TopAutoSet" Val="TRUE"/>
<Option Name="SimMode" Val="post-implementation"/>
<Option Name="SrcSet" Val="sources_1"/>
</Config>
</FileSet>
<FileSet Name="sim_2" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_2">
<Filter Type="Srcs"/>
<File Path="$PSRCDIR/sim_2/new/Project_screentest_nopause.sv">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<Config>
<Option Name="DesignMode" Val="RTL"/>
<Option Name="TopModule" Val="project_screentest"/>
<Option Name="TopLib" Val="xil_defaultlib"/>
<Option Name="TopAutoSet" Val="TRUE"/>
<Option Name="SimMode" Val="post-implementation"/>
<Option Name="SrcSet" Val="sources_1"/>
</Config>
</FileSet>
</FileSets>
<Simulators>
<Simulator Name="XSim">
<Option Name="Description" Val="Vivado Simulator"/>
<Option Name="CompiledLib" Val="0"/>
</Simulator>
<Simulator Name="ModelSim">
<Option Name="Description" Val="QuestaSim/ModelSim Simulator"/>
</Simulator>
<Simulator Name="IES">
<Option Name="Description" Val="Incisive Enterprise Simulator (IES)"/>
</Simulator>
<Simulator Name="VCS">
<Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
</Simulator>
</Simulators>
<Runs Version="1" Minor="9">
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" State="current" Dir="$PRUNDIR/synth_1">
<Strategy Version="1" Minor="2">
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2014"/>
<Step Id="synth_design"/>
</Strategy>
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
</Run>
<Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1">
<Strategy Version="1" Minor="2">
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/>
<Step Id="init_design"/>
<Step Id="opt_design"/>
<Step Id="power_opt_design"/>
<Step Id="place_design"/>
<Step Id="post_place_power_opt_design"/>
<Step Id="phys_opt_design"/>
<Step Id="route_design"/>
<Step Id="post_route_phys_opt_design"/>
<Step Id="write_bitstream"/>
</Strategy>
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
</Run>
</Runs>
<HWSession Dir="hw_1" File="hw.xml"/>
</Project>