r
/
pong
1
Fork 0
pong/Project.runs/impl_1/gen_run.xml

385 lines
17 KiB
XML
Raw Normal View History

2015-10-01 13:54:04 +00:00
<?xml version="1.0" encoding="UTF-8"?>
<GenRun Id="impl_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1429704004">
<File Type="PWROPT-DCP" Name="top_pwropt.dcp"/>
<File Type="RUN-CONSTRS" Name="$PDATADIR/runs/impl_1/constrs_in.xml"/>
<File Type="PLACE-DCP" Name="top_placed.dcp"/>
<File Type="RUN-SRCS" Name="$PDATADIR/runs/impl_1/sources.xml"/>
<File Type="PWROPT-DRC" Name="top_drc_pwropted.rpt"/>
<File Type="ROUTE-TIMINGSUMMARY" Name="top_timing_summary_routed.rpt"/>
<File Type="PA-TCL" Name="top.tcl"/>
<File Type="RUN-STRAT" Name="$PDATADIR/runs/impl_1/impl_1.psg"/>
<File Type="OPT-DCP" Name="top_opt.dcp"/>
<File Type="OPT-DRC" Name="top_drc_opted.rpt"/>
<File Type="PLACE-IO" Name="top_io_placed.rpt"/>
<File Type="PLACE-CLK" Name="top_clock_utilization_placed.rpt"/>
<File Type="PLACE-UTIL" Name="top_utilization_placed.rpt"/>
<File Type="PLACE-UTIL-PB" Name="top_utilization_placed.pb"/>
<File Type="PLACE-CTRL" Name="top_control_sets_placed.rpt"/>
<File Type="PLACE-SIMILARITY" Name="top_incremental_reuse_placed.rpt"/>
<File Type="PLACE-PRE-SIMILARITY" Name="top_incremental_reuse_pre_placed.rpt"/>
<File Type="POSTPLACE-PWROPT-DCP" Name="top_postplace_pwropt.dcp"/>
<File Type="PHYSOPT-DCP" Name="top_physopt.dcp"/>
<File Type="PHYSOPT-DRC" Name="top_drc_physopted.rpt"/>
<File Type="ROUTE-DCP" Name="top_routed.dcp"/>
<File Type="ROUTE-DRC" Name="top_drc_routed.rpt"/>
<File Type="ROUTE-DRC-PB" Name="top_drc_routed.pb"/>
<File Type="ROUTE-PWR" Name="top_power_routed.rpt"/>
<File Type="ROUTE-PWR-SUM" Name="top_power_summary_routed.pb"/>
<File Type="ROUTE-STATUS" Name="top_route_status.rpt"/>
<File Type="ROUTE-STATUS-PB" Name="top_route_status.pb"/>
<File Type="ROUTE-TIMING-PB" Name="top_timing_summary_routed.pb"/>
<File Type="ROUTE-TIMING-RPX" Name="top_timing_summary_routed.rpx"/>
<File Type="ROUTE-SIMILARITY" Name="top_incremental_reuse_routed.rpt"/>
<File Type="POSTROUTE-PHYSOPT-DCP" Name="top_postroute_physopt.dcp"/>
<File Type="RDI-RDI" Name="top.vdi"/>
<File Type="POSTROUTE-PHYSOPT-TIMING" Name="top_timing_summary_postroute_physopted.rpt"/>
<File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="top_timing_summary_postroute_physopted.pb"/>
<File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="top_timing_summary_postroute_physopted.rpx"/>
<File Type="BG-BIT" Name="top.bit"/>
<File Type="BG-BIN" Name="top.bin"/>
<File Type="BITSTR-MSK" Name="top.msk"/>
<File Type="BITSTR-RBT" Name="top.rbt"/>
<File Type="BITSTR-NKY" Name="top.nky"/>
<File Type="BITSTR-BMM" Name="top_bd.bmm"/>
<File Type="BITSTR-MMI" Name="top.mmi"/>
<File Type="BITSTR-SYSDEF" Name="top.sysdef"/>
<File Type="BG-BGN" Name="top.bgn"/>
<File Type="BG-DRC" Name="top.drc"/>
<File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
<Filter Type="Srcs"/>
<File Path="$PSRCDIR/sources_1/imports/src/fulladder.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/fulladder.v"/>
<Attr Name="ImportTime" Val="1427243153"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/adder.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/adder.v"/>
<Attr Name="ImportTime" Val="1422244323"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/shifter.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/shifter.v"/>
<Attr Name="ImportTime" Val="1422244303"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/logical.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/logical.v"/>
<Attr Name="ImportTime" Val="1422243800"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/comparator.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/comparator.v"/>
<Attr Name="ImportTime" Val="1427258384"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/addsub.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/addsub.v"/>
<Attr Name="ImportTime" Val="1422128621"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/hexto7seg.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/hexto7seg.sv"/>
<Attr Name="ImportTime" Val="1424296296"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/xycounter.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/xycounter.sv"/>
<Attr Name="ImportTime" Val="1428520136"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/signExtension.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/signExtension.sv"/>
<Attr Name="ImportTime" Val="1428246139"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/initfile.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/initfile.sv"/>
<Attr Name="ImportTime" Val="1429120799"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/register_file.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/register_file.sv"/>
<Attr Name="ImportTime" Val="1429120421"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/alu.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/alu.v"/>
<Attr Name="ImportTime" Val="1428252342"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/display8digit.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/display8digit.sv"/>
<Attr Name="ImportTime" Val="1429211502"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/display640x480.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/display640x480.sv"/>
<Attr Name="ImportTime" Val="1429121006"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/vgatimer.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/vgatimer.sv"/>
<Attr Name="ImportTime" Val="1429121782"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/memory.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/memory.sv"/>
<Attr Name="ImportTime" Val="1429125092"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/smem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/smem.sv"/>
<Attr Name="ImportTime" Val="1429124805"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/dmem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/dmem.sv"/>
<Attr Name="ImportTime" Val="1429124887"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/datapath.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/datapath.sv"/>
<Attr Name="ImportTime" Val="1429109717"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/controller.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/controller.sv"/>
<Attr Name="ImportTime" Val="1428292272"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/bitmapmem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/bitmapmem.sv"/>
<Attr Name="ImportTime" Val="1429123744"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/keyboard.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/keyboard.sv"/>
<Attr Name="ImportTime" Val="1429211084"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/vgadisplaydriver.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/vgadisplaydriver.sv"/>
<Attr Name="ImportTime" Val="1429123865"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/mips.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/mips.sv"/>
<Attr Name="ImportTime" Val="1428885434"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/memIO.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/memIO.sv"/>
<Attr Name="ImportTime" Val="1429125666"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/imem.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/imem.sv"/>
<Attr Name="ImportTime" Val="1429124362"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/debouncer.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/debouncer.sv"/>
<Attr Name="ImportTime" Val="1429124210"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/clockdiv.sv">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/clockdiv.sv"/>
<Attr Name="ImportTime" Val="1428935501"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/top.v">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/top.v"/>
<Attr Name="ImportTime" Val="1429125034"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/bmem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/bmem_init.txt"/>
<Attr Name="ImportTime" Val="1429127840"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/smem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/smem_init.txt"/>
<Attr Name="ImportTime" Val="1429127827"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/dmem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/dmem_init.txt"/>
<Attr Name="ImportTime" Val="1429127819"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/imem_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/imem_init.txt"/>
<Attr Name="ImportTime" Val="1429127811"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PSRCDIR/sources_1/imports/src/regd_init.txt">
<FileInfo SFType="MIF">
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/regd_init.txt"/>
<Attr Name="ImportTime" Val="1429128057"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<Config>
<Option Name="DesignMode" Val="RTL"/>
<Option Name="TopModule" Val="top"/>
</Config>
</FileSet>
<FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
<Filter Type="Constrs"/>
<File Path="$PSRCDIR/constrs_1/imports/src/master.xdc">
<FileInfo>
<Attr Name="ImportPath" Val="$PPRDIR/../../../Desktop/src/master.xdc"/>
<Attr Name="ImportTime" Val="1428901792"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
</FileInfo>
</File>
<Config>
<Option Name="TargetConstrsFile" Val="$PSRCDIR/constrs_1/imports/src/master.xdc"/>
<Option Name="ConstrsType" Val="XDC"/>
</Config>
</FileSet>
<Strategy Version="1" Minor="2">
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/>
<Step Id="init_design"/>
<Step Id="opt_design"/>
<Step Id="power_opt_design"/>
<Step Id="place_design"/>
<Step Id="post_place_power_opt_design"/>
<Step Id="phys_opt_design"/>
<Step Id="route_design"/>
<Step Id="post_route_phys_opt_design"/>
<Step Id="write_bitstream"/>
</Strategy>
</GenRun>