From d8ca7b65512283a43fed4ad9279255bba36202ab Mon Sep 17 00:00:00 2001 From: Joshua Potter Date: Thu, 1 Oct 2015 09:59:26 -0400 Subject: [PATCH] Removal of unnecessary files --- Project.cache/wt/java_command_handlers.wdf | 3 - Project.cache/wt/synthesis.wdf | 25 - Project.cache/wt/synthesis_details.wdf | 3 - Project.cache/wt/webtalk_pa.xml | 29 - Project.cache/wt/xsim.wdf | 4 - Project.hw/hw_1/hw.xml | 11 - Project.runs/.jobs/vrs_config_1.xml | 8 - Project.runs/.jobs/vrs_config_10.xml | 8 - Project.runs/.jobs/vrs_config_100.xml | 8 - Project.runs/.jobs/vrs_config_101.xml | 8 - Project.runs/.jobs/vrs_config_102.xml | 8 - Project.runs/.jobs/vrs_config_103.xml | 8 - Project.runs/.jobs/vrs_config_104.xml | 8 - Project.runs/.jobs/vrs_config_105.xml | 8 - Project.runs/.jobs/vrs_config_106.xml | 8 - Project.runs/.jobs/vrs_config_107.xml | 8 - Project.runs/.jobs/vrs_config_108.xml | 8 - Project.runs/.jobs/vrs_config_109.xml | 5 - Project.runs/.jobs/vrs_config_11.xml | 8 - Project.runs/.jobs/vrs_config_110.xml | 8 - Project.runs/.jobs/vrs_config_111.xml | 8 - Project.runs/.jobs/vrs_config_112.xml | 5 - Project.runs/.jobs/vrs_config_113.xml | 8 - Project.runs/.jobs/vrs_config_114.xml | 8 - Project.runs/.jobs/vrs_config_115.xml | 8 - Project.runs/.jobs/vrs_config_116.xml | 8 - Project.runs/.jobs/vrs_config_117.xml | 8 - Project.runs/.jobs/vrs_config_118.xml | 8 - Project.runs/.jobs/vrs_config_12.xml | 8 - Project.runs/.jobs/vrs_config_13.xml | 8 - Project.runs/.jobs/vrs_config_14.xml | 8 - Project.runs/.jobs/vrs_config_15.xml | 5 - Project.runs/.jobs/vrs_config_16.xml | 5 - Project.runs/.jobs/vrs_config_17.xml | 5 - Project.runs/.jobs/vrs_config_18.xml | 5 - Project.runs/.jobs/vrs_config_19.xml | 8 - Project.runs/.jobs/vrs_config_2.xml | 8 - Project.runs/.jobs/vrs_config_20.xml | 8 - Project.runs/.jobs/vrs_config_21.xml | 5 - Project.runs/.jobs/vrs_config_22.xml | 8 - Project.runs/.jobs/vrs_config_23.xml | 8 - Project.runs/.jobs/vrs_config_24.xml | 8 - Project.runs/.jobs/vrs_config_25.xml | 5 - Project.runs/.jobs/vrs_config_26.xml | 8 - Project.runs/.jobs/vrs_config_27.xml | 8 - Project.runs/.jobs/vrs_config_28.xml | 8 - Project.runs/.jobs/vrs_config_29.xml | 8 - Project.runs/.jobs/vrs_config_3.xml | 8 - Project.runs/.jobs/vrs_config_30.xml | 8 - Project.runs/.jobs/vrs_config_31.xml | 8 - Project.runs/.jobs/vrs_config_32.xml | 8 - Project.runs/.jobs/vrs_config_33.xml | 5 - Project.runs/.jobs/vrs_config_34.xml | 5 - Project.runs/.jobs/vrs_config_35.xml | 8 - Project.runs/.jobs/vrs_config_36.xml | 8 - Project.runs/.jobs/vrs_config_37.xml | 8 - Project.runs/.jobs/vrs_config_38.xml | 8 - Project.runs/.jobs/vrs_config_39.xml | 8 - Project.runs/.jobs/vrs_config_4.xml | 8 - Project.runs/.jobs/vrs_config_40.xml | 5 - Project.runs/.jobs/vrs_config_41.xml | 8 - Project.runs/.jobs/vrs_config_42.xml | 8 - Project.runs/.jobs/vrs_config_43.xml | 8 - Project.runs/.jobs/vrs_config_44.xml | 8 - Project.runs/.jobs/vrs_config_45.xml | 5 - Project.runs/.jobs/vrs_config_46.xml | 8 - Project.runs/.jobs/vrs_config_47.xml | 8 - Project.runs/.jobs/vrs_config_48.xml | 8 - Project.runs/.jobs/vrs_config_49.xml | 8 - Project.runs/.jobs/vrs_config_5.xml | 8 - Project.runs/.jobs/vrs_config_50.xml | 8 - Project.runs/.jobs/vrs_config_51.xml | 8 - Project.runs/.jobs/vrs_config_52.xml | 8 - Project.runs/.jobs/vrs_config_53.xml | 8 - Project.runs/.jobs/vrs_config_54.xml | 8 - Project.runs/.jobs/vrs_config_55.xml | 8 - Project.runs/.jobs/vrs_config_56.xml | 5 - Project.runs/.jobs/vrs_config_57.xml | 8 - Project.runs/.jobs/vrs_config_58.xml | 8 - Project.runs/.jobs/vrs_config_59.xml | 8 - Project.runs/.jobs/vrs_config_6.xml | 8 - Project.runs/.jobs/vrs_config_60.xml | 8 - Project.runs/.jobs/vrs_config_61.xml | 8 - Project.runs/.jobs/vrs_config_62.xml | 8 - Project.runs/.jobs/vrs_config_63.xml | 8 - Project.runs/.jobs/vrs_config_64.xml | 5 - Project.runs/.jobs/vrs_config_65.xml | 8 - Project.runs/.jobs/vrs_config_66.xml | 8 - Project.runs/.jobs/vrs_config_67.xml | 8 - Project.runs/.jobs/vrs_config_68.xml | 8 - Project.runs/.jobs/vrs_config_69.xml | 8 - Project.runs/.jobs/vrs_config_7.xml | 8 - Project.runs/.jobs/vrs_config_70.xml | 8 - Project.runs/.jobs/vrs_config_71.xml | 8 - Project.runs/.jobs/vrs_config_72.xml | 8 - Project.runs/.jobs/vrs_config_73.xml | 5 - Project.runs/.jobs/vrs_config_74.xml | 8 - Project.runs/.jobs/vrs_config_75.xml | 8 - Project.runs/.jobs/vrs_config_76.xml | 8 - Project.runs/.jobs/vrs_config_77.xml | 8 - Project.runs/.jobs/vrs_config_78.xml | 8 - Project.runs/.jobs/vrs_config_79.xml | 8 - Project.runs/.jobs/vrs_config_8.xml | 5 - Project.runs/.jobs/vrs_config_80.xml | 8 - Project.runs/.jobs/vrs_config_81.xml | 8 - Project.runs/.jobs/vrs_config_82.xml | 8 - Project.runs/.jobs/vrs_config_83.xml | 5 - Project.runs/.jobs/vrs_config_84.xml | 8 - Project.runs/.jobs/vrs_config_85.xml | 8 - Project.runs/.jobs/vrs_config_86.xml | 8 - Project.runs/.jobs/vrs_config_87.xml | 5 - Project.runs/.jobs/vrs_config_88.xml | 8 - Project.runs/.jobs/vrs_config_89.xml | 5 - Project.runs/.jobs/vrs_config_9.xml | 8 - Project.runs/.jobs/vrs_config_90.xml | 8 - Project.runs/.jobs/vrs_config_91.xml | 8 - Project.runs/.jobs/vrs_config_92.xml | 8 - Project.runs/.jobs/vrs_config_93.xml | 8 - Project.runs/.jobs/vrs_config_94.xml | 8 - Project.runs/.jobs/vrs_config_95.xml | 5 - Project.runs/.jobs/vrs_config_96.xml | 8 - Project.runs/.jobs/vrs_config_97.xml | 8 - Project.runs/.jobs/vrs_config_98.xml | 8 - Project.runs/.jobs/vrs_config_99.xml | 8 - .../impl_1/.Vivado_Implementation.queue.rst | 0 Project.runs/impl_1/.init_design.begin.rst | 5 - Project.runs/impl_1/.init_design.end.rst | 0 Project.runs/impl_1/.opt_design.begin.rst | 5 - Project.runs/impl_1/.opt_design.end.rst | 0 Project.runs/impl_1/.place_design.begin.rst | 5 - Project.runs/impl_1/.place_design.end.rst | 0 Project.runs/impl_1/.route_design.begin.rst | 5 - Project.runs/impl_1/.route_design.end.rst | 0 Project.runs/impl_1/.vivado.begin.rst | 5 - Project.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 - Project.runs/impl_1/.write_bitstream.end.rst | 0 Project.runs/impl_1/ISEWrap.js | 196 - Project.runs/impl_1/ISEWrap.sh | 62 - Project.runs/impl_1/gen_run.xml | 384 -- Project.runs/impl_1/htr.txt | 9 - Project.runs/impl_1/init_design.pb | Bin 5190 -> 0 bytes Project.runs/impl_1/opt_design.pb | Bin 5298 -> 0 bytes Project.runs/impl_1/place_design.pb | Bin 17418 -> 0 bytes Project.runs/impl_1/project.wdf | 17 - Project.runs/impl_1/route_design.pb | Bin 10268 -> 0 bytes Project.runs/impl_1/rundef.js | 40 - Project.runs/impl_1/runme.bat | 10 - Project.runs/impl_1/runme.log | 508 -- Project.runs/impl_1/runme.sh | 47 - Project.runs/impl_1/top.bit | Bin 3825888 -> 0 bytes Project.runs/impl_1/top.tcl | 138 - Project.runs/impl_1/top.vdi | 506 -- Project.runs/impl_1/top_4464.backup.vdi | 469 -- Project.runs/impl_1/top_4828.backup.vdi | 19 - .../impl_1/top_clock_utilization_placed.rpt | 163 - .../impl_1/top_control_sets_placed.rpt | 78 - Project.runs/impl_1/top_drc_opted.rpt | 50 - Project.runs/impl_1/top_drc_routed.pb | Bin 37 -> 0 bytes Project.runs/impl_1/top_drc_routed.rpt | 50 - Project.runs/impl_1/top_io_placed.rpt | 364 -- Project.runs/impl_1/top_opt.dcp | Bin 204038 -> 0 bytes Project.runs/impl_1/top_placed.dcp | Bin 374097 -> 0 bytes Project.runs/impl_1/top_power_routed.rpt | 294 -- .../impl_1/top_power_summary_routed.pb | Bin 682 -> 0 bytes Project.runs/impl_1/top_route_status.pb | Bin 44 -> 0 bytes Project.runs/impl_1/top_route_status.rpt | 12 - Project.runs/impl_1/top_routed.dcp | Bin 546347 -> 0 bytes .../impl_1/top_timing_summary_routed.rpt | 3334 ------------- .../impl_1/top_timing_summary_routed.rpx | Bin 201549 -> 0 bytes Project.runs/impl_1/top_utilization_placed.pb | Bin 231 -> 0 bytes .../impl_1/top_utilization_placed.rpt | 217 - .../impl_1/usage_statistics_webtalk.html | 615 --- .../impl_1/usage_statistics_webtalk.xml | 557 --- Project.runs/impl_1/vivado.jou | 10 - Project.runs/impl_1/vivado.pb | Bin 129 -> 0 bytes Project.runs/impl_1/vivado_1016.backup.jou | 10 - Project.runs/impl_1/vivado_2244.backup.jou | 10 - Project.runs/impl_1/vivado_4392.backup.jou | 10 - Project.runs/impl_1/vivado_5308.backup.jou | 10 - Project.runs/impl_1/vivado_5436.backup.jou | 10 - Project.runs/impl_1/write_bitstream.pb | Bin 3680 -> 0 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 Project.runs/synth_1/.vivado.begin.rst | 5 - Project.runs/synth_1/.vivado.end.rst | 0 Project.runs/synth_1/ISEWrap.js | 196 - Project.runs/synth_1/ISEWrap.sh | 62 - Project.runs/synth_1/bmem_init.txt | 1280 ----- Project.runs/synth_1/dmem_init.txt | 1 - Project.runs/synth_1/gen_run.xml | 342 -- Project.runs/synth_1/htr.txt | 9 - Project.runs/synth_1/imem_init.txt | 128 - Project.runs/synth_1/regd_init.txt | 32 - Project.runs/synth_1/rundef.js | 36 - Project.runs/synth_1/runme.bat | 10 - Project.runs/synth_1/runme.log | 671 --- Project.runs/synth_1/runme.sh | 43 - Project.runs/synth_1/smem_init.txt | 1200 ----- Project.runs/synth_1/top.dcp | Bin 114825 -> 0 bytes Project.runs/synth_1/top.tcl | 57 - Project.runs/synth_1/top.vds | 670 --- Project.runs/synth_1/top_utilization_synth.pb | Bin 231 -> 0 bytes .../synth_1/top_utilization_synth.rpt | 187 - Project.runs/synth_1/vivado.jou | 10 - Project.runs/synth_1/vivado.pb | Bin 72162 -> 0 bytes Project.sim/sim_1/behav/Lab10_test_sqr.sv | 246 - .../sim_1/behav/Project_screentest_nopause.sv | 308 -- Project.sim/sim_1/behav/bmem_init.txt | 1024 ---- Project.sim/sim_1/behav/compile.bat | 10 - Project.sim/sim_1/behav/compile.log | 63 - Project.sim/sim_1/behav/dmem_init.txt | 1 - Project.sim/sim_1/behav/elaborate.bat | 9 - Project.sim/sim_1/behav/elaborate.log | 12 - Project.sim/sim_1/behav/glbl.v | 71 - Project.sim/sim_1/behav/imem_init.txt | 7 - .../sim_1/behav/mips_test_sqr_behav.wdb | Bin 61483 -> 0 bytes .../sim_1/behav/project_screentest_vlog.prj | 29 - Project.sim/sim_1/behav/regd_init.txt | 32 - Project.sim/sim_1/behav/simulate.log | 5 - Project.sim/sim_1/behav/smem_init.txt | 1200 ----- Project.sim/sim_1/behav/webtalk.jou | 10 - Project.sim/sim_1/behav/webtalk.log | 12 - Project.sim/sim_1/behav/xelab.pb | Bin 2663 -> 0 bytes .../webtalk/.xsim_webtallk.info | 5 - .../webtalk/usage_statistics_ext_xsim.html | 54 - .../webtalk/usage_statistics_ext_xsim.xml | 45 - .../xsim.dir/mips_test_sqr_behav/xsim.mem | Bin 107588 -> 0 bytes .../xsim.dir/mips_test_sqr_behav/xsim.type | Bin 24 -> 0 bytes .../xsim.dir/mips_test_sqr_behav/xsim.xdbg | Bin 51000 -> 0 bytes .../mips_test_sqr_behav/xsimcrash.log | 0 .../xsim.dir/mips_test_sqr_behav/xsimk.exe | Bin 163166 -> 0 bytes .../mips_test_sqr_behav/xsimkernel.log | 7 - .../behav/xsim.dir/xil_defaultlib/@a@l@u.sdb | Bin 2924 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/adder.sdb | Bin 2092 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/addsub.sdb | Bin 1663 -> 0 bytes .../xsim.dir/xil_defaultlib/bitmapmem.sdb | Bin 1516 -> 0 bytes .../xil_defaultlib/clockdivider_@nexys4.sdb | Bin 3528 -> 0 bytes .../xsim.dir/xil_defaultlib/comparator.sdb | Bin 1457 -> 0 bytes .../xsim.dir/xil_defaultlib/controller.sdb | Bin 5246 -> 0 bytes .../xsim.dir/xil_defaultlib/datapath.sdb | Bin 5770 -> 0 bytes .../xsim.dir/xil_defaultlib/debouncer.sdb | Bin 1506 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/dmem.sdb | Bin 1918 -> 0 bytes .../xsim.dir/xil_defaultlib/fulladder.sdb | Bin 1329 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/glbl.sdb | Bin 4148 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/imem.sdb | Bin 1486 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/logical.sdb | Bin 1710 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/mem@i@o.sdb | Bin 3826 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/mips.sdb | Bin 3115 -> 0 bytes .../xsim.dir/xil_defaultlib/mips_test_sqr.sdb | Bin 5651 -> 0 bytes .../xil_defaultlib/project_screentest.sdb | Bin 22159 -> 0 bytes .../xsim.dir/xil_defaultlib/register_file.sdb | Bin 2308 -> 0 bytes .../xsim.dir/xil_defaultlib/selfcheck.sdb | Bin 26941 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/shifter.sdb | Bin 1581 -> 0 bytes .../xil_defaultlib/sign@extension.sdb | Bin 1302 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/smem.sdb | Bin 2380 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/top.sdb | Bin 3120 -> 0 bytes .../xil_defaultlib/vgadisplaydriver.sdb | Bin 3295 -> 0 bytes .../xsim.dir/xil_defaultlib/vgatimer.sdb | Bin 2968 -> 0 bytes .../xsim.dir/xil_defaultlib/xycounter.sdb | Bin 1924 -> 0 bytes Project.sim/sim_1/behav/xsim.dir/xsim.svtype | Bin 8 -> 0 bytes Project.sim/sim_1/behav/xvlog.pb | Bin 11525 -> 0 bytes .../sim_2/behav/Project_screentest_nopause.sv | 308 -- Project.sim/sim_2/behav/bmem_init.txt | 1024 ---- Project.sim/sim_2/behav/compile.bat | 10 - Project.sim/sim_2/behav/compile.log | 57 - Project.sim/sim_2/behav/dmem_init.txt | 1 - Project.sim/sim_2/behav/elaborate.bat | 9 - Project.sim/sim_2/behav/elaborate.log | 39 - Project.sim/sim_2/behav/glbl.v | 71 - Project.sim/sim_2/behav/imem_init.txt | 20 - .../sim_2/behav/project_screentest.tcl | 11 - .../sim_2/behav/project_screentest_behav.wdb | Bin 99983 -> 0 bytes .../sim_2/behav/project_screentest_vlog.prj | 27 - Project.sim/sim_2/behav/regd_init.txt | 32 - Project.sim/sim_2/behav/simulate.bat | 9 - Project.sim/sim_2/behav/simulate.log | 3 - Project.sim/sim_2/behav/smem_init.txt | 1200 ----- Project.sim/sim_2/behav/webtalk.jou | 10 - Project.sim/sim_2/behav/webtalk.log | 12 - .../sim_2/behav/webtalk_6464.backup.jou | 10 - .../sim_2/behav/webtalk_6464.backup.log | 12 - Project.sim/sim_2/behav/xelab.pb | Bin 4978 -> 0 bytes .../Compile_Options.txt | 1 - .../webtalk/.xsim_webtallk.info | 5 - .../webtalk/usage_statistics_ext_xsim.html | 54 - .../webtalk/usage_statistics_ext_xsim.xml | 45 - .../project_screentest_behav/xsim.dbg | Bin 77348 -> 0 bytes .../project_screentest_behav/xsim.mem | Bin 187204 -> 0 bytes .../project_screentest_behav/xsim.reloc | Bin 53329 -> 0 bytes .../project_screentest_behav/xsim.rtti | Bin 307 -> 0 bytes .../project_screentest_behav/xsim.svtype | Bin 8 -> 0 bytes .../project_screentest_behav/xsim.type | Bin 24 -> 0 bytes .../project_screentest_behav/xsim.xdbg | Bin 69768 -> 0 bytes .../project_screentest_behav/xsimcrash.log | 0 .../project_screentest_behav/xsimk.exe | Bin 291535 -> 0 bytes .../project_screentest_behav/xsimkernel.log | 7 - .../behav/xsim.dir/xil_defaultlib/@a@l@u.sdb | Bin 2880 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/adder.sdb | Bin 2048 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/addsub.sdb | Bin 1619 -> 0 bytes .../xsim.dir/xil_defaultlib/bitmapmem.sdb | Bin 1472 -> 0 bytes .../xsim.dir/xil_defaultlib/comparator.sdb | Bin 1413 -> 0 bytes .../xsim.dir/xil_defaultlib/controller.sdb | Bin 5202 -> 0 bytes .../xsim.dir/xil_defaultlib/datapath.sdb | Bin 5702 -> 0 bytes .../xsim.dir/xil_defaultlib/debouncer.sdb | Bin 1638 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/dmem.sdb | Bin 1874 -> 0 bytes .../xsim.dir/xil_defaultlib/fulladder.sdb | Bin 1285 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/glbl.sdb | Bin 4096 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/imem.sdb | Bin 1442 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/logical.sdb | Bin 1666 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/mem@i@o.sdb | Bin 3686 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/mips.sdb | Bin 3071 -> 0 bytes .../xil_defaultlib/project_screentest.sdb | Bin 21974 -> 0 bytes .../xsim.dir/xil_defaultlib/register_file.sdb | Bin 2264 -> 0 bytes .../xsim.dir/xil_defaultlib/selfcheck.sdb | Bin 26745 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/shifter.sdb | Bin 1537 -> 0 bytes .../xil_defaultlib/sign@extension.sdb | Bin 1258 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/smem.sdb | Bin 2336 -> 0 bytes .../behav/xsim.dir/xil_defaultlib/top.sdb | Bin 3105 -> 0 bytes .../xil_defaultlib/vgadisplaydriver.sdb | Bin 3244 -> 0 bytes .../xsim.dir/xil_defaultlib/vgatimer.sdb | Bin 2924 -> 0 bytes .../xsim.dir/xil_defaultlib/xycounter.sdb | Bin 1880 -> 0 bytes Project.sim/sim_2/behav/xsim.dir/xsim.svtype | Bin 8 -> 0 bytes Project.sim/sim_2/behav/xvlog.pb | Bin 10445 -> 0 bytes samples/guitar_hero/bmem_init.txt | 4352 ----------------- samples/guitar_hero/dmem_init.txt | 1 - samples/guitar_hero/hero.asm | 116 - samples/guitar_hero/imem_init.txt | 13 - samples/guitar_hero/regd_init.txt | 32 - samples/guitar_hero/smem_init.txt | 1200 ----- 329 files changed, 25968 deletions(-) delete mode 100644 Project.cache/wt/java_command_handlers.wdf delete mode 100644 Project.cache/wt/synthesis.wdf delete mode 100644 Project.cache/wt/synthesis_details.wdf delete mode 100644 Project.cache/wt/webtalk_pa.xml delete mode 100644 Project.cache/wt/xsim.wdf delete mode 100644 Project.hw/hw_1/hw.xml delete mode 100644 Project.runs/.jobs/vrs_config_1.xml delete mode 100644 Project.runs/.jobs/vrs_config_10.xml delete mode 100644 Project.runs/.jobs/vrs_config_100.xml delete mode 100644 Project.runs/.jobs/vrs_config_101.xml delete mode 100644 Project.runs/.jobs/vrs_config_102.xml delete mode 100644 Project.runs/.jobs/vrs_config_103.xml delete mode 100644 Project.runs/.jobs/vrs_config_104.xml delete mode 100644 Project.runs/.jobs/vrs_config_105.xml delete mode 100644 Project.runs/.jobs/vrs_config_106.xml delete mode 100644 Project.runs/.jobs/vrs_config_107.xml delete mode 100644 Project.runs/.jobs/vrs_config_108.xml delete mode 100644 Project.runs/.jobs/vrs_config_109.xml delete mode 100644 Project.runs/.jobs/vrs_config_11.xml delete mode 100644 Project.runs/.jobs/vrs_config_110.xml delete mode 100644 Project.runs/.jobs/vrs_config_111.xml delete mode 100644 Project.runs/.jobs/vrs_config_112.xml delete mode 100644 Project.runs/.jobs/vrs_config_113.xml delete mode 100644 Project.runs/.jobs/vrs_config_114.xml delete mode 100644 Project.runs/.jobs/vrs_config_115.xml delete mode 100644 Project.runs/.jobs/vrs_config_116.xml delete mode 100644 Project.runs/.jobs/vrs_config_117.xml delete mode 100644 Project.runs/.jobs/vrs_config_118.xml delete mode 100644 Project.runs/.jobs/vrs_config_12.xml delete mode 100644 Project.runs/.jobs/vrs_config_13.xml delete mode 100644 Project.runs/.jobs/vrs_config_14.xml delete mode 100644 Project.runs/.jobs/vrs_config_15.xml delete mode 100644 Project.runs/.jobs/vrs_config_16.xml delete mode 100644 Project.runs/.jobs/vrs_config_17.xml delete mode 100644 Project.runs/.jobs/vrs_config_18.xml delete mode 100644 Project.runs/.jobs/vrs_config_19.xml delete mode 100644 Project.runs/.jobs/vrs_config_2.xml delete mode 100644 Project.runs/.jobs/vrs_config_20.xml delete mode 100644 Project.runs/.jobs/vrs_config_21.xml delete mode 100644 Project.runs/.jobs/vrs_config_22.xml delete mode 100644 Project.runs/.jobs/vrs_config_23.xml delete mode 100644 Project.runs/.jobs/vrs_config_24.xml delete mode 100644 Project.runs/.jobs/vrs_config_25.xml delete mode 100644 Project.runs/.jobs/vrs_config_26.xml delete mode 100644 Project.runs/.jobs/vrs_config_27.xml delete mode 100644 Project.runs/.jobs/vrs_config_28.xml delete mode 100644 Project.runs/.jobs/vrs_config_29.xml delete mode 100644 Project.runs/.jobs/vrs_config_3.xml delete mode 100644 Project.runs/.jobs/vrs_config_30.xml delete mode 100644 Project.runs/.jobs/vrs_config_31.xml delete mode 100644 Project.runs/.jobs/vrs_config_32.xml delete mode 100644 Project.runs/.jobs/vrs_config_33.xml delete mode 100644 Project.runs/.jobs/vrs_config_34.xml delete mode 100644 Project.runs/.jobs/vrs_config_35.xml delete mode 100644 Project.runs/.jobs/vrs_config_36.xml delete mode 100644 Project.runs/.jobs/vrs_config_37.xml delete mode 100644 Project.runs/.jobs/vrs_config_38.xml delete mode 100644 Project.runs/.jobs/vrs_config_39.xml delete mode 100644 Project.runs/.jobs/vrs_config_4.xml delete mode 100644 Project.runs/.jobs/vrs_config_40.xml delete mode 100644 Project.runs/.jobs/vrs_config_41.xml delete mode 100644 Project.runs/.jobs/vrs_config_42.xml delete mode 100644 Project.runs/.jobs/vrs_config_43.xml delete mode 100644 Project.runs/.jobs/vrs_config_44.xml delete mode 100644 Project.runs/.jobs/vrs_config_45.xml delete mode 100644 Project.runs/.jobs/vrs_config_46.xml delete mode 100644 Project.runs/.jobs/vrs_config_47.xml delete mode 100644 Project.runs/.jobs/vrs_config_48.xml delete mode 100644 Project.runs/.jobs/vrs_config_49.xml delete mode 100644 Project.runs/.jobs/vrs_config_5.xml delete mode 100644 Project.runs/.jobs/vrs_config_50.xml delete mode 100644 Project.runs/.jobs/vrs_config_51.xml delete mode 100644 Project.runs/.jobs/vrs_config_52.xml delete mode 100644 Project.runs/.jobs/vrs_config_53.xml delete mode 100644 Project.runs/.jobs/vrs_config_54.xml delete mode 100644 Project.runs/.jobs/vrs_config_55.xml delete mode 100644 Project.runs/.jobs/vrs_config_56.xml delete mode 100644 Project.runs/.jobs/vrs_config_57.xml delete mode 100644 Project.runs/.jobs/vrs_config_58.xml delete mode 100644 Project.runs/.jobs/vrs_config_59.xml delete mode 100644 Project.runs/.jobs/vrs_config_6.xml delete mode 100644 Project.runs/.jobs/vrs_config_60.xml delete mode 100644 Project.runs/.jobs/vrs_config_61.xml delete mode 100644 Project.runs/.jobs/vrs_config_62.xml delete mode 100644 Project.runs/.jobs/vrs_config_63.xml delete mode 100644 Project.runs/.jobs/vrs_config_64.xml delete mode 100644 Project.runs/.jobs/vrs_config_65.xml delete mode 100644 Project.runs/.jobs/vrs_config_66.xml delete mode 100644 Project.runs/.jobs/vrs_config_67.xml delete mode 100644 Project.runs/.jobs/vrs_config_68.xml delete mode 100644 Project.runs/.jobs/vrs_config_69.xml delete mode 100644 Project.runs/.jobs/vrs_config_7.xml delete mode 100644 Project.runs/.jobs/vrs_config_70.xml delete mode 100644 Project.runs/.jobs/vrs_config_71.xml delete mode 100644 Project.runs/.jobs/vrs_config_72.xml delete mode 100644 Project.runs/.jobs/vrs_config_73.xml delete mode 100644 Project.runs/.jobs/vrs_config_74.xml delete mode 100644 Project.runs/.jobs/vrs_config_75.xml delete mode 100644 Project.runs/.jobs/vrs_config_76.xml delete mode 100644 Project.runs/.jobs/vrs_config_77.xml delete mode 100644 Project.runs/.jobs/vrs_config_78.xml delete mode 100644 Project.runs/.jobs/vrs_config_79.xml delete mode 100644 Project.runs/.jobs/vrs_config_8.xml delete mode 100644 Project.runs/.jobs/vrs_config_80.xml delete mode 100644 Project.runs/.jobs/vrs_config_81.xml delete mode 100644 Project.runs/.jobs/vrs_config_82.xml delete mode 100644 Project.runs/.jobs/vrs_config_83.xml delete mode 100644 Project.runs/.jobs/vrs_config_84.xml delete mode 100644 Project.runs/.jobs/vrs_config_85.xml delete mode 100644 Project.runs/.jobs/vrs_config_86.xml delete mode 100644 Project.runs/.jobs/vrs_config_87.xml delete mode 100644 Project.runs/.jobs/vrs_config_88.xml delete mode 100644 Project.runs/.jobs/vrs_config_89.xml delete mode 100644 Project.runs/.jobs/vrs_config_9.xml delete mode 100644 Project.runs/.jobs/vrs_config_90.xml delete mode 100644 Project.runs/.jobs/vrs_config_91.xml delete mode 100644 Project.runs/.jobs/vrs_config_92.xml delete mode 100644 Project.runs/.jobs/vrs_config_93.xml delete mode 100644 Project.runs/.jobs/vrs_config_94.xml delete mode 100644 Project.runs/.jobs/vrs_config_95.xml delete mode 100644 Project.runs/.jobs/vrs_config_96.xml delete mode 100644 Project.runs/.jobs/vrs_config_97.xml delete mode 100644 Project.runs/.jobs/vrs_config_98.xml delete mode 100644 Project.runs/.jobs/vrs_config_99.xml delete mode 100644 Project.runs/impl_1/.Vivado_Implementation.queue.rst delete mode 100644 Project.runs/impl_1/.init_design.begin.rst delete mode 100644 Project.runs/impl_1/.init_design.end.rst delete mode 100644 Project.runs/impl_1/.opt_design.begin.rst delete mode 100644 Project.runs/impl_1/.opt_design.end.rst delete mode 100644 Project.runs/impl_1/.place_design.begin.rst delete mode 100644 Project.runs/impl_1/.place_design.end.rst delete mode 100644 Project.runs/impl_1/.route_design.begin.rst delete mode 100644 Project.runs/impl_1/.route_design.end.rst delete mode 100644 Project.runs/impl_1/.vivado.begin.rst delete mode 100644 Project.runs/impl_1/.vivado.end.rst delete mode 100644 Project.runs/impl_1/.write_bitstream.begin.rst delete mode 100644 Project.runs/impl_1/.write_bitstream.end.rst delete mode 100644 Project.runs/impl_1/ISEWrap.js delete mode 100644 Project.runs/impl_1/ISEWrap.sh delete mode 100644 Project.runs/impl_1/gen_run.xml delete mode 100644 Project.runs/impl_1/htr.txt delete mode 100644 Project.runs/impl_1/init_design.pb delete mode 100644 Project.runs/impl_1/opt_design.pb delete mode 100644 Project.runs/impl_1/place_design.pb delete mode 100644 Project.runs/impl_1/project.wdf delete mode 100644 Project.runs/impl_1/route_design.pb delete mode 100644 Project.runs/impl_1/rundef.js delete mode 100644 Project.runs/impl_1/runme.bat delete mode 100644 Project.runs/impl_1/runme.log delete mode 100644 Project.runs/impl_1/runme.sh delete mode 100644 Project.runs/impl_1/top.bit delete mode 100644 Project.runs/impl_1/top.tcl delete mode 100644 Project.runs/impl_1/top.vdi delete mode 100644 Project.runs/impl_1/top_4464.backup.vdi delete mode 100644 Project.runs/impl_1/top_4828.backup.vdi delete mode 100644 Project.runs/impl_1/top_clock_utilization_placed.rpt delete mode 100644 Project.runs/impl_1/top_control_sets_placed.rpt delete mode 100644 Project.runs/impl_1/top_drc_opted.rpt delete mode 100644 Project.runs/impl_1/top_drc_routed.pb delete mode 100644 Project.runs/impl_1/top_drc_routed.rpt delete mode 100644 Project.runs/impl_1/top_io_placed.rpt delete mode 100644 Project.runs/impl_1/top_opt.dcp delete mode 100644 Project.runs/impl_1/top_placed.dcp delete mode 100644 Project.runs/impl_1/top_power_routed.rpt delete mode 100644 Project.runs/impl_1/top_power_summary_routed.pb delete mode 100644 Project.runs/impl_1/top_route_status.pb delete mode 100644 Project.runs/impl_1/top_route_status.rpt delete mode 100644 Project.runs/impl_1/top_routed.dcp delete mode 100644 Project.runs/impl_1/top_timing_summary_routed.rpt delete mode 100644 Project.runs/impl_1/top_timing_summary_routed.rpx delete mode 100644 Project.runs/impl_1/top_utilization_placed.pb delete mode 100644 Project.runs/impl_1/top_utilization_placed.rpt delete mode 100644 Project.runs/impl_1/usage_statistics_webtalk.html delete mode 100644 Project.runs/impl_1/usage_statistics_webtalk.xml delete mode 100644 Project.runs/impl_1/vivado.jou delete mode 100644 Project.runs/impl_1/vivado.pb delete mode 100644 Project.runs/impl_1/vivado_1016.backup.jou delete mode 100644 Project.runs/impl_1/vivado_2244.backup.jou delete mode 100644 Project.runs/impl_1/vivado_4392.backup.jou delete mode 100644 Project.runs/impl_1/vivado_5308.backup.jou delete mode 100644 Project.runs/impl_1/vivado_5436.backup.jou delete mode 100644 Project.runs/impl_1/write_bitstream.pb delete mode 100644 Project.runs/synth_1/.Vivado_Synthesis.queue.rst delete mode 100644 Project.runs/synth_1/.vivado.begin.rst delete mode 100644 Project.runs/synth_1/.vivado.end.rst delete mode 100644 Project.runs/synth_1/ISEWrap.js delete mode 100644 Project.runs/synth_1/ISEWrap.sh delete mode 100644 Project.runs/synth_1/bmem_init.txt delete mode 100644 Project.runs/synth_1/dmem_init.txt delete mode 100644 Project.runs/synth_1/gen_run.xml delete mode 100644 Project.runs/synth_1/htr.txt delete mode 100644 Project.runs/synth_1/imem_init.txt delete mode 100644 Project.runs/synth_1/regd_init.txt delete mode 100644 Project.runs/synth_1/rundef.js delete mode 100644 Project.runs/synth_1/runme.bat delete mode 100644 Project.runs/synth_1/runme.log delete mode 100644 Project.runs/synth_1/runme.sh delete mode 100644 Project.runs/synth_1/smem_init.txt delete mode 100644 Project.runs/synth_1/top.dcp delete mode 100644 Project.runs/synth_1/top.tcl delete mode 100644 Project.runs/synth_1/top.vds delete mode 100644 Project.runs/synth_1/top_utilization_synth.pb delete mode 100644 Project.runs/synth_1/top_utilization_synth.rpt delete mode 100644 Project.runs/synth_1/vivado.jou delete mode 100644 Project.runs/synth_1/vivado.pb delete mode 100644 Project.sim/sim_1/behav/Lab10_test_sqr.sv delete mode 100644 Project.sim/sim_1/behav/Project_screentest_nopause.sv delete mode 100644 Project.sim/sim_1/behav/bmem_init.txt delete mode 100644 Project.sim/sim_1/behav/compile.bat delete mode 100644 Project.sim/sim_1/behav/compile.log delete mode 100644 Project.sim/sim_1/behav/dmem_init.txt delete mode 100644 Project.sim/sim_1/behav/elaborate.bat delete mode 100644 Project.sim/sim_1/behav/elaborate.log delete mode 100644 Project.sim/sim_1/behav/glbl.v delete mode 100644 Project.sim/sim_1/behav/imem_init.txt delete mode 100644 Project.sim/sim_1/behav/mips_test_sqr_behav.wdb delete mode 100644 Project.sim/sim_1/behav/project_screentest_vlog.prj delete mode 100644 Project.sim/sim_1/behav/regd_init.txt delete mode 100644 Project.sim/sim_1/behav/simulate.log delete mode 100644 Project.sim/sim_1/behav/smem_init.txt delete mode 100644 Project.sim/sim_1/behav/webtalk.jou delete mode 100644 Project.sim/sim_1/behav/webtalk.log delete mode 100644 Project.sim/sim_1/behav/xelab.pb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/.xsim_webtallk.info delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.html delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.xml delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsim.mem delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsim.type delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsim.xdbg delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsimcrash.log delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsimk.exe delete mode 100644 Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsimkernel.log delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/@a@l@u.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/adder.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/addsub.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/bitmapmem.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/clockdivider_@nexys4.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/comparator.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/controller.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/datapath.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/debouncer.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/dmem.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/fulladder.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/glbl.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/imem.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/logical.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mem@i@o.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mips.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mips_test_sqr.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/project_screentest.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/register_file.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/selfcheck.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/shifter.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/sign@extension.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/smem.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/top.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/vgadisplaydriver.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/vgatimer.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/xycounter.sdb delete mode 100644 Project.sim/sim_1/behav/xsim.dir/xsim.svtype delete mode 100644 Project.sim/sim_1/behav/xvlog.pb delete mode 100644 Project.sim/sim_2/behav/Project_screentest_nopause.sv delete mode 100644 Project.sim/sim_2/behav/bmem_init.txt delete mode 100644 Project.sim/sim_2/behav/compile.bat delete mode 100644 Project.sim/sim_2/behav/compile.log delete mode 100644 Project.sim/sim_2/behav/dmem_init.txt delete mode 100644 Project.sim/sim_2/behav/elaborate.bat delete mode 100644 Project.sim/sim_2/behav/elaborate.log delete mode 100644 Project.sim/sim_2/behav/glbl.v delete mode 100644 Project.sim/sim_2/behav/imem_init.txt delete mode 100644 Project.sim/sim_2/behav/project_screentest.tcl delete mode 100644 Project.sim/sim_2/behav/project_screentest_behav.wdb delete mode 100644 Project.sim/sim_2/behav/project_screentest_vlog.prj delete mode 100644 Project.sim/sim_2/behav/regd_init.txt delete mode 100644 Project.sim/sim_2/behav/simulate.bat delete mode 100644 Project.sim/sim_2/behav/simulate.log delete mode 100644 Project.sim/sim_2/behav/smem_init.txt delete mode 100644 Project.sim/sim_2/behav/webtalk.jou delete mode 100644 Project.sim/sim_2/behav/webtalk.log delete mode 100644 Project.sim/sim_2/behav/webtalk_6464.backup.jou delete mode 100644 Project.sim/sim_2/behav/webtalk_6464.backup.log delete mode 100644 Project.sim/sim_2/behav/xelab.pb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/Compile_Options.txt delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/.xsim_webtallk.info delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.html delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.xml delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.dbg delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.mem delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.reloc delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.rtti delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.svtype delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.type delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.xdbg delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsimcrash.log delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsimk.exe delete mode 100644 Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsimkernel.log delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/@a@l@u.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/adder.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/addsub.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/bitmapmem.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/comparator.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/controller.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/datapath.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/debouncer.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/dmem.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/fulladder.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/glbl.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/imem.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/logical.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/mem@i@o.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/mips.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/project_screentest.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/register_file.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/selfcheck.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/shifter.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/sign@extension.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/smem.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/top.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/vgadisplaydriver.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/vgatimer.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/xycounter.sdb delete mode 100644 Project.sim/sim_2/behav/xsim.dir/xsim.svtype delete mode 100644 Project.sim/sim_2/behav/xvlog.pb delete mode 100644 samples/guitar_hero/bmem_init.txt delete mode 100644 samples/guitar_hero/dmem_init.txt delete mode 100644 samples/guitar_hero/hero.asm delete mode 100644 samples/guitar_hero/imem_init.txt delete mode 100644 samples/guitar_hero/regd_init.txt delete mode 100644 samples/guitar_hero/smem_init.txt diff --git a/Project.cache/wt/java_command_handlers.wdf b/Project.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 354360e..0000000 --- a/Project.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:30:00:00 -eof:2944385137 diff --git a/Project.cache/wt/synthesis.wdf b/Project.cache/wt/synthesis.wdf deleted file mode 100644 index d690322..0000000 --- a/Project.cache/wt/synthesis.wdf +++ /dev/null @@ -1,25 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:746f70:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a303573:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:3638302e3334384d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3530332e3035314d42:00:00 -eof:2776114396 diff --git a/Project.cache/wt/synthesis_details.wdf b/Project.cache/wt/synthesis_details.wdf deleted file mode 100644 index 78f8d66..0000000 --- a/Project.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/Project.cache/wt/webtalk_pa.xml b/Project.cache/wt/webtalk_pa.xml deleted file mode 100644 index 82554e6..0000000 --- a/Project.cache/wt/webtalk_pa.xml +++ /dev/null @@ -1,29 +0,0 @@ - - - - -
- - -
-
- - - - - - - - - - - - - - - -
-
-
diff --git a/Project.cache/wt/xsim.wdf b/Project.cache/wt/xsim.wdf deleted file mode 100644 index 50afb2c..0000000 --- a/Project.cache/wt/xsim.wdf +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:241934075 diff --git a/Project.hw/hw_1/hw.xml b/Project.hw/hw_1/hw.xml deleted file mode 100644 index daade45..0000000 --- a/Project.hw/hw_1/hw.xml +++ /dev/null @@ -1,11 +0,0 @@ - - - - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_1.xml b/Project.runs/.jobs/vrs_config_1.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_1.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_10.xml b/Project.runs/.jobs/vrs_config_10.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_10.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_100.xml b/Project.runs/.jobs/vrs_config_100.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_100.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_101.xml b/Project.runs/.jobs/vrs_config_101.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_101.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_102.xml b/Project.runs/.jobs/vrs_config_102.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_102.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_103.xml b/Project.runs/.jobs/vrs_config_103.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_103.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_104.xml b/Project.runs/.jobs/vrs_config_104.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_104.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_105.xml b/Project.runs/.jobs/vrs_config_105.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_105.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_106.xml b/Project.runs/.jobs/vrs_config_106.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_106.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_107.xml b/Project.runs/.jobs/vrs_config_107.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_107.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_108.xml b/Project.runs/.jobs/vrs_config_108.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_108.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_109.xml b/Project.runs/.jobs/vrs_config_109.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_109.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_11.xml b/Project.runs/.jobs/vrs_config_11.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_11.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_110.xml b/Project.runs/.jobs/vrs_config_110.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_110.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_111.xml b/Project.runs/.jobs/vrs_config_111.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_111.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_112.xml b/Project.runs/.jobs/vrs_config_112.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_112.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_113.xml b/Project.runs/.jobs/vrs_config_113.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_113.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_114.xml b/Project.runs/.jobs/vrs_config_114.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_114.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_115.xml b/Project.runs/.jobs/vrs_config_115.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_115.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_116.xml b/Project.runs/.jobs/vrs_config_116.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_116.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_117.xml b/Project.runs/.jobs/vrs_config_117.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_117.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_118.xml b/Project.runs/.jobs/vrs_config_118.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_118.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_12.xml b/Project.runs/.jobs/vrs_config_12.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_12.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_13.xml b/Project.runs/.jobs/vrs_config_13.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_13.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_14.xml b/Project.runs/.jobs/vrs_config_14.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_14.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_15.xml b/Project.runs/.jobs/vrs_config_15.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_15.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_16.xml b/Project.runs/.jobs/vrs_config_16.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_16.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_17.xml b/Project.runs/.jobs/vrs_config_17.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_17.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_18.xml b/Project.runs/.jobs/vrs_config_18.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_18.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_19.xml b/Project.runs/.jobs/vrs_config_19.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_19.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_2.xml b/Project.runs/.jobs/vrs_config_2.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_2.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_20.xml b/Project.runs/.jobs/vrs_config_20.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_20.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_21.xml b/Project.runs/.jobs/vrs_config_21.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_21.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_22.xml b/Project.runs/.jobs/vrs_config_22.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_22.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_23.xml b/Project.runs/.jobs/vrs_config_23.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_23.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_24.xml b/Project.runs/.jobs/vrs_config_24.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_24.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_25.xml b/Project.runs/.jobs/vrs_config_25.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_25.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_26.xml b/Project.runs/.jobs/vrs_config_26.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_26.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_27.xml b/Project.runs/.jobs/vrs_config_27.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_27.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_28.xml b/Project.runs/.jobs/vrs_config_28.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_28.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_29.xml b/Project.runs/.jobs/vrs_config_29.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_29.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_3.xml b/Project.runs/.jobs/vrs_config_3.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_3.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_30.xml b/Project.runs/.jobs/vrs_config_30.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_30.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_31.xml b/Project.runs/.jobs/vrs_config_31.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_31.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_32.xml b/Project.runs/.jobs/vrs_config_32.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_32.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_33.xml b/Project.runs/.jobs/vrs_config_33.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_33.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_34.xml b/Project.runs/.jobs/vrs_config_34.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_34.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_35.xml b/Project.runs/.jobs/vrs_config_35.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_35.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_36.xml b/Project.runs/.jobs/vrs_config_36.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_36.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_37.xml b/Project.runs/.jobs/vrs_config_37.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_37.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_38.xml b/Project.runs/.jobs/vrs_config_38.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_38.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_39.xml b/Project.runs/.jobs/vrs_config_39.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_39.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_4.xml b/Project.runs/.jobs/vrs_config_4.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_4.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_40.xml b/Project.runs/.jobs/vrs_config_40.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_40.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_41.xml b/Project.runs/.jobs/vrs_config_41.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_41.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_42.xml b/Project.runs/.jobs/vrs_config_42.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_42.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_43.xml b/Project.runs/.jobs/vrs_config_43.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_43.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_44.xml b/Project.runs/.jobs/vrs_config_44.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_44.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_45.xml b/Project.runs/.jobs/vrs_config_45.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_45.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_46.xml b/Project.runs/.jobs/vrs_config_46.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_46.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_47.xml b/Project.runs/.jobs/vrs_config_47.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_47.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_48.xml b/Project.runs/.jobs/vrs_config_48.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_48.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_49.xml b/Project.runs/.jobs/vrs_config_49.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_49.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_5.xml b/Project.runs/.jobs/vrs_config_5.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_5.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_50.xml b/Project.runs/.jobs/vrs_config_50.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_50.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_51.xml b/Project.runs/.jobs/vrs_config_51.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_51.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_52.xml b/Project.runs/.jobs/vrs_config_52.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_52.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_53.xml b/Project.runs/.jobs/vrs_config_53.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_53.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_54.xml b/Project.runs/.jobs/vrs_config_54.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_54.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_55.xml b/Project.runs/.jobs/vrs_config_55.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_55.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_56.xml b/Project.runs/.jobs/vrs_config_56.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_56.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_57.xml b/Project.runs/.jobs/vrs_config_57.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_57.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_58.xml b/Project.runs/.jobs/vrs_config_58.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_58.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_59.xml b/Project.runs/.jobs/vrs_config_59.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_59.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_6.xml b/Project.runs/.jobs/vrs_config_6.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_6.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_60.xml b/Project.runs/.jobs/vrs_config_60.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_60.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_61.xml b/Project.runs/.jobs/vrs_config_61.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_61.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_62.xml b/Project.runs/.jobs/vrs_config_62.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_62.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_63.xml b/Project.runs/.jobs/vrs_config_63.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_63.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_64.xml b/Project.runs/.jobs/vrs_config_64.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_64.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_65.xml b/Project.runs/.jobs/vrs_config_65.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_65.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_66.xml b/Project.runs/.jobs/vrs_config_66.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_66.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_67.xml b/Project.runs/.jobs/vrs_config_67.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_67.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_68.xml b/Project.runs/.jobs/vrs_config_68.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_68.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_69.xml b/Project.runs/.jobs/vrs_config_69.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_69.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_7.xml b/Project.runs/.jobs/vrs_config_7.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_7.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_70.xml b/Project.runs/.jobs/vrs_config_70.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_70.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_71.xml b/Project.runs/.jobs/vrs_config_71.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_71.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_72.xml b/Project.runs/.jobs/vrs_config_72.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_72.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_73.xml b/Project.runs/.jobs/vrs_config_73.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_73.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_74.xml b/Project.runs/.jobs/vrs_config_74.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_74.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_75.xml b/Project.runs/.jobs/vrs_config_75.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_75.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_76.xml b/Project.runs/.jobs/vrs_config_76.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_76.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_77.xml b/Project.runs/.jobs/vrs_config_77.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_77.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_78.xml b/Project.runs/.jobs/vrs_config_78.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_78.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_79.xml b/Project.runs/.jobs/vrs_config_79.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_79.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_8.xml b/Project.runs/.jobs/vrs_config_8.xml deleted file mode 100644 index 1297fc0..0000000 --- a/Project.runs/.jobs/vrs_config_8.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_80.xml b/Project.runs/.jobs/vrs_config_80.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_80.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_81.xml b/Project.runs/.jobs/vrs_config_81.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_81.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_82.xml b/Project.runs/.jobs/vrs_config_82.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_82.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_83.xml b/Project.runs/.jobs/vrs_config_83.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_83.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_84.xml b/Project.runs/.jobs/vrs_config_84.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_84.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_85.xml b/Project.runs/.jobs/vrs_config_85.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_85.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_86.xml b/Project.runs/.jobs/vrs_config_86.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_86.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_87.xml b/Project.runs/.jobs/vrs_config_87.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_87.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_88.xml b/Project.runs/.jobs/vrs_config_88.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_88.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_89.xml b/Project.runs/.jobs/vrs_config_89.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_89.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_9.xml b/Project.runs/.jobs/vrs_config_9.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_9.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_90.xml b/Project.runs/.jobs/vrs_config_90.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_90.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_91.xml b/Project.runs/.jobs/vrs_config_91.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_91.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_92.xml b/Project.runs/.jobs/vrs_config_92.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_92.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_93.xml b/Project.runs/.jobs/vrs_config_93.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_93.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_94.xml b/Project.runs/.jobs/vrs_config_94.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_94.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_95.xml b/Project.runs/.jobs/vrs_config_95.xml deleted file mode 100644 index c0e2283..0000000 --- a/Project.runs/.jobs/vrs_config_95.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/.jobs/vrs_config_96.xml b/Project.runs/.jobs/vrs_config_96.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_96.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_97.xml b/Project.runs/.jobs/vrs_config_97.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_97.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_98.xml b/Project.runs/.jobs/vrs_config_98.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_98.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/.jobs/vrs_config_99.xml b/Project.runs/.jobs/vrs_config_99.xml deleted file mode 100644 index c878bb2..0000000 --- a/Project.runs/.jobs/vrs_config_99.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Project.runs/impl_1/.Vivado_Implementation.queue.rst b/Project.runs/impl_1/.Vivado_Implementation.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/.init_design.begin.rst b/Project.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index d1f9375..0000000 --- a/Project.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/impl_1/.init_design.end.rst b/Project.runs/impl_1/.init_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/.opt_design.begin.rst b/Project.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index d1f9375..0000000 --- a/Project.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/impl_1/.opt_design.end.rst b/Project.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/.place_design.begin.rst b/Project.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index d1f9375..0000000 --- a/Project.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/impl_1/.place_design.end.rst b/Project.runs/impl_1/.place_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/.route_design.begin.rst b/Project.runs/impl_1/.route_design.begin.rst deleted file mode 100644 index d1f9375..0000000 --- a/Project.runs/impl_1/.route_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/impl_1/.route_design.end.rst b/Project.runs/impl_1/.route_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/.vivado.begin.rst b/Project.runs/impl_1/.vivado.begin.rst deleted file mode 100644 index 18f9210..0000000 --- a/Project.runs/impl_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/impl_1/.vivado.end.rst b/Project.runs/impl_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/.write_bitstream.begin.rst b/Project.runs/impl_1/.write_bitstream.begin.rst deleted file mode 100644 index d1f9375..0000000 --- a/Project.runs/impl_1/.write_bitstream.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/impl_1/.write_bitstream.end.rst b/Project.runs/impl_1/.write_bitstream.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/impl_1/ISEWrap.js b/Project.runs/impl_1/ISEWrap.js deleted file mode 100644 index 8a98177..0000000 --- a/Project.runs/impl_1/ISEWrap.js +++ /dev/null @@ -1,196 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.close(); -} - -function ISEOpenFile( ISEFilename ) { - - var ISEFullPath = ISERunDir + "/" + ISEFilename; - return ISEFileSys.OpenTextFile( ISEFullPath, 8, true ); -} diff --git a/Project.runs/impl_1/ISEWrap.sh b/Project.runs/impl_1/ISEWrap.sh deleted file mode 100644 index 2b3ebe0..0000000 --- a/Project.runs/impl_1/ISEWrap.sh +++ /dev/null @@ -1,62 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL diff --git a/Project.runs/impl_1/gen_run.xml b/Project.runs/impl_1/gen_run.xml deleted file mode 100644 index 1167a20..0000000 --- a/Project.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,384 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Project.runs/impl_1/htr.txt b/Project.runs/impl_1/htr.txt deleted file mode 100644 index 1b2370a..0000000 --- a/Project.runs/impl_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log top.vdi -applog -m64 -messageDb vivado.pb -mode batch -source top.tcl -notrace diff --git a/Project.runs/impl_1/init_design.pb b/Project.runs/impl_1/init_design.pb deleted file mode 100644 index 0ffea6005bcea09be2e6184c68ca9e23015695bb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5190 zcmd^DTXWk)6vlDw(4~N1xJ;mBJ0+dQ)RI?{uS^+oX@<9Jv@9EESh>wQ?a^s3~Y^#BJ`56w`Cs1G7=BwWYCYyQ;LbMT_<5&<@+<8_h!9 z^|rbfEm0rxLuz@dRwagFs1^;W8d(+bB|;_C0e3?-YN(NM70jNPRYs0I!^?-LG%_0l zU8{w?UcXhXRLz#lIP|axOu@o7lyy|Pw3IjWM)|UDQ+M@%QA@juE(>oM zm`psHvEBV5(JHV4%G{;VB`9-!bn`qvrss+5AdT{(%R-xrPHUJ%st~!Q!l)2! zNlQ#W2&gN-tV2WYxfps9-)3Rl=>UNB?k*M#wqOC1;7n^yoV|r|Gb(K4N^7RBhUnVo zF*^K|3Ty_9O7l;lWs*Bw7GO57wMco<54;O2GGEEl2|v@g-!EV$|{QX>+(GRT8%Y5N?AMZH=9PbtP9a%g3Y(WmBs z_8In}VebuHQwDd6QBf2r3o>)YXTOV9vtZ6-waeYjvFURlMu$C7k{@&E-LnW!1!Fx0 z)JHoP26Q$UP0`miL+!>~WI>cYeJpn0<J>DM%v2Zz_bvg(ah>?PPfIiIxIWq_&^Brk63*tn( zKSL{B&}JG)!AS%;5^US+a}#@h$Q^zhp_Nja*Ns-Hc~ZM-jVf$6)@KWmEmyEWDkX^I z*4pl-kacbAKK4COp-4WxVJHU@y-=i0(4cZ2JZa}>b5RHJG0ydKe||q?4nI;p;{E)Z zLvMF!AS5+=8|!#e{&D_lS$q?fXVPeuUjv?@ln+C03(ZD*a9+DWfKZN)mG(BM3oA|szU{sP)3$Hqi#S&f!ZhwN1Ot`<i{ZS3_h(KP@q)dspU{FDU@hvUwKSqj2< zd$mKf<{sI=cRo4sa0|va>c-vMaxA{-?CxzgZsX*V4ktG&ZQMBFE3sUk7}hm;AKBHl z*sQL>s^$b>yhztN2^M*GX2E!2=5;4=09SB8reMWT;{9F#)*jhOfysLbEp~B|Wi%rd zK!)I;(zJcHfVP&!1MV;kanr`8Kg4%rG<^&$DfI>9NA#%R4s*QV2;b_g!D~LFgYkQP z3Kdwsgi2SS&#O1fMd&dd&(*G@*WokR;8C3TZ9EM}dmp`8h3{hUt6zBbJ+x@l423{s tjlZQ$KB~baKv9U%oSuaKzX4ylWQ<=KU4dr#4TSEx7T$(7IIv2&zW_m^MXUe- diff --git a/Project.runs/impl_1/opt_design.pb b/Project.runs/impl_1/opt_design.pb deleted file mode 100644 index e80d7dd59cb10521b2b79c63143c017564410382..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5298 zcmdT|-%lGy5U#Ne?G{BSDoIPCYTJ|stk}NYJL9v{Rt-NwkrIJOQc^zz9d~PU;e2=6 zT?485(Dyu4{S*3M^u2#rJG*C}aeSsAIjSll0eg3|voqh$eBYoaXnrH=cAd~`;$?vr z3QIFJv-Huaf9iOVbOZW1LQyOaJtq84NasA(c6xzq4*qBrtui6z@dt6?ys$8X{>Y*4 zA4$o&vGl_ZmJ#kSi5(pHE(-<2?TF(xb7YS*e1BQo$FOr1);x9!Z|EiEMBX&)(yR-f zMIrqX-Pq~I0qe3*I?{)g!S1OW^e+1F+^svrFy#2t)-0))O{<=I`Fjo-+mXDUS4HrO z7*qGE0bUi)ix9gL^kBaihAM8G`y1F15Vx2!Hwryp9a}|Hg#8xIsad8fQAtSl(V_}P+Yfzl%skw;s4V4aotEZe9=&F+ zRQWgX7UvNcSyoBeS|h1d2d(b~%mFxZ)@EGARUR`Pbk5?4OT3c&J_Ju!HDiGl@MF{m+q{xm=9Xn8XV85T_H ztiRmcz|!w3#9hd@KHH30E6pa)T1C??o3-?0HMF$JxPPiX>#&e!5ha6 z(%9=ZF)=M>yX44&qA;j>>G7wAZi|u$=x$hM#RBZ7=r$^bkrBJpCw{f$)2!sLpieeb z#dAW5_jnXLoy#HjUjtzqEp7$s=IXoG2ZBhT22Dys4S?RLWk6ZsfEX#Jwvd_Nfa0tW zjADWw8RW>docD?eo7&UDgnKnim|2+k3>BZ9F&1ZpFsVC35IjS8G#$MV3Tg8TFd&W+C?NgdQED)^&RH)GF9Es%-(;gT6Z6{WL%O{~ql-^dKeic#|FVI#6vm z6Qd`(tmQmJs~J(ba>u$B`PhrjfEQkqe8i}J;0FN~Cw`pJA>d`2XDQW_@S}vm#z3DU z4}YUNc$i>5Pl#2TjnAO>Nct%%n855Z3dF1lddnPEh#_LeUA`t_rqYqUdcZj93+?P z1nH_0s_LyoV_*jLy%z%IV;(eJP|ei7sKlW4QfoYYisr3lFJ};&Nu&^DoHcAcKcOXX z3v?kUWYs4`&vls)?OqVPNjz&@^z7gCyfUufm9X4jXVA{Fc()e9eixMr{F|*$^m~12 zqS*L6h_|(>rLc&mglOT6UvC?Z)^+JuLt~ zAqey5^PtNgp*sf(4gkS8a9k)1o+F(jP#KkqPJV%`DaoA7NP5x_L~D*3Wnwpm(zuCk z4UD|p+78wl(QZPdpTPA`QD zu)qnzV+<%$AAkIvL-(G;WCHpm4BZ5d+R0={&mv$d5-6RkNq?Te#hP=WqpG3ZpEL{wOc^tt+j#oD$x2I-kqWYsA?^QwQsNu=rcZK&tQS~9vhomZ_SWQ0;;f6wq l$zz+NYehYt$bFUtjqO0_pab^%O(@q-MI`_O^_ne}~XsPY>`{ux2$14H4 zF~2<9P*=bC(s^mxUTC=rMoUB2w8(Rt1kUL|xn`4|Ida4Gyo zbE?!@U9o*Z2BEm-NXXG(!iTB&VlnD0k2|&aI{?OHx?;^kh+P)>N&TV)^w9 zl6JjtGOQK=D_NR4Rt8|TaJ~S%J3x01M}q<7+ty(lzjDGekO~gZd}7)lorUFDMO&S- zeM`BCW)u#c3yNA(D47w!BXpAj>Nx`^I3sofkwI!2SvdpyB)skWo*(eQf{cw;3buNreI~&=!=WW*aA2BbjJeTA z53}4b1JI(OPb_a>J5&kiu~b1offC(Slngup-JREJijpeD|Jg5R*nfyVKu4kJGcyJ) zJT`+D%ra$3U40*<5QM(z48lNJMvEQlBYckLEXu7KypZzv4ca^a_W_zsb((5A8JJxc zbdx%UtgFGJfPQS^zIpESM}6$|s4=uVPXlTQGKiXez2|zb%FJO=m1?>yr;7U$EuqdC zRos;za(8pGB;hmdDMOMt7D4T{ouhmwuU4836`XF`bWl( zFmcUc0E|u_N_9n2a2+2Iu+G=`{=p`^HYDZ?_==&abqzj!gik@p^qsmSNkz7#I0a&? zRd}p@fNsW2*&Bdqo38Vw#La^vr}{MJ>l=Y%KSc9Noh#EiTIL>%+u*K>#1>Bf>nz$^ z2`=&+v^2|Y_?6FN_Pv*lHDNOilOCBBq;iRpoi|Ptj_=-oZPNZ984Zb;8%!qDcaNGQfLVK*sAL zDg~qcHF}(7w~ED~S62?NCQ)vUCx(0IJG3}o!0$BKi@cQv=|llcjOOPQg#n(~LIdcq0~Oa-_>mRn>K+X;*^ww*pEPhh?t6gq$uHcasF~z_W=f zpji`mY|uTPQiI2iLN86V@m5(^=VAc=-uU=iXONiZ-rlVdeFLr2Ciyc2hdXC7*>)?#2^2C`vT_T3rm=19o zkGRIvh8wO4d$4w3TD~WeB>#Sb%tPgS2Ms~2 zit|Uj>v~<&T{|SF&}}o_7#{&I^$cx~B~4Fy7tEZ*6~$8YhAdCXWUpAO_tAQW)(oYr z;7X)dVFC}(qm;m;T%-_8;T7^D1H+hT4;j?sQ^Tix<0EQ;S`KeB_o9z{f2TnvOf>gn$nfY;0JPARC`pZ;!Y_wZVTp=l^3XWe>fAhDLU$6bc+j7X_zHcH{inx= z8wVo6I?aaY375gj^H?ETtJ$!$=~#`yvzpSr*2Jw4k?R)5W3K;MMYlHKk_aEYGKcsH zTqpO%uLaTyT&t^?4<*mnR#!6M&PAyNUqz}w##gRCg4>qS&^AL7wS#AHOvL_zrfW7ueb%SLcftVU^^yU+sFEP$(`u<4lBde2Id0Eb5%DQO+#yO``Z(D zi)7l{EVM(X=L3ZOtj^;q_}Qbr>ALvn%pAgm!Q^rDcOpd*lw?|6=Z8{O60!DOgh}e_ zS=PJnojR6)<%lE@&=h7^@bQ4|3UvE7M>fpUhy<845ldi>-_EO(p(`@cyVGeRT(vrh z6xb;rxuF{jH4e8&bS4R^+EEY^?%2!4CRkeQMJ!F@b{W?DM3A$+9y5OhieuuUQ();72G++~Mbov>*3Jgxvga#)>#&1Aj8~hX! zF0?!CC!OOsn~n-N5jU82NGV_rh@5a0O^5roR(CqJ^i`)k=VC6P!S4gnp-?K_I8&Oi zOCi$ZgB%3T=F1aK=M>>gJ_034+T)AhmqDtqY(*}uWBzh;yft+D65ADEv5@D0a)nBu zM8-P0djW^ILolJP!CuB0AJEYVX6Rx5_u1p4Pga6D-hn}EI?msxifvlf$cJ80GF_G# z;fHDMXa`_4fIR>@_Wzvr=mw{Cn9rWmVN+sZNXNnzm>t#YQp4ae374?F&4*H9z79q6Aa0fmcbqK2^`V}jkpQAVk^6JVac_ToDvn485t>5m@bh4UglT1HwQ3Dwd2sK zrE%dUZt6crt%RjIE&-G0EU}wSy{R-Sk#~%yN zCS#r+sjF*J&z?@!DDiI8rkFK8L$?kIO}!~e@mh7Xy$x%TEj8H|6xo_SS%AIh7TwN_ z7DE0xgLYvnZ4dTzgNJn6@|o$=b>!%mwhvQZ7MN7>lyxuQThZPyY;sy2tVR-_*sys5 ztK>>6yN*lejG9F!O?NkW>uB(px5vhRd;*)i+W1EG3$zSqS@E`Y6NH@Ll}1W&Cu+^c5S`RART_hm3=SxX zZktC7x@Dk(A+cc8F$)SRTMkxI3hGZ+sUC2BNl7M{u?tEmwh(%`mhcvLa|@xWu5y&& zQcam)A@nW!P23-FymdO@z}ryfIZa8b%gxda<%_qQY(JG3fB!Ipf*1j+h4B>8#F#)g wK=7Wm6cgwNg)t_uKp*;^`y6iNq28N}DUvKam0ya=b%Y=)LJDkozI|inUoWu*8vp|nnK^UsnVEYoc}12wLBH<| zyf$5l$*qOuIa6Q#-id$WctPU!G)k7DV3_cIkH`MuK)d1bt~2ZioVzJ&f_Qe zLcS8inAcTnbbkIKfV>Zf&J*C%V+!@)q{wz1uzP7K__h`YhS^To6UngS9) zmaUeiU2U}%jE1J=FuWx9COdrRCr2<$lcR_`9^9e6Jf|6}^Iqg?w@FPa0k*E`4Nc&h z&R~b!79;Qa13y0E9vxYfmRk1YP_bSr-Hf6jip$Kxscb7ZdqAFS^|%A~iFlVs0*B;? zOOPTS22nyEq<{A@)Q8f?`T-owAHd!E(q$Am21}h7gLGYc4F3-KgzO|vBpnwp>^kwW z^hKuXtKY{e#7X4%gCy2IB{#Ma6KffhN)C*;XXL=3PMsEWnq1LvRzbVMvn zMi(8%;%A5Uom0O*?9-qtmZP)vIu=V|w^r-&^NSW^Q8yc!Y2>i=$r9N*60ohrX>`vs zl}%6O4w+-LI1BAQ`QekH-}C79F5S2&_S31de5zj%CGC&VbB*cOv~$GW<9OI_Q^vYB zZ#i8L=w{)R+xUBpED$-`>4APl{={Pi2XdUL zvCY(PEfg>zN%_ z2t|;NSw=bt!wu{#XX&Bsb(KR~mAwLdW6mt@^OKD*d0EsOmeW!#{hB!B2S+^)P^BlE zEYRi2CVQw5^}ix(X=IqV!cO?YbWrHm3*BM0plFr_#V^R+PA_ne>CQ2KM}LbHUBCKu z^f_s!Xf_d3rAEGKTupU`dsRiUX_es$ve^paggy_DFnQ{qmK?gzU+ycCO>6dKBTQaG zHci_`_R6tmLpFBF5o4yyHgmEOwJOyQqQZ8BBX%t&s$7Rf&(J}a=`)I}dp&L;_yub&l%}k%i!yb)lvP z-R>I2CsNoN4j^s`Eo(nDw-2dA1pLHxwFm1f(r*5 zN#d#Uj%=@`XJS|t#e1&~P1_POV>;Xa*2pu6g)bm^#%n@0eCtF)N=_d-5xCApGAw&5 zof2QA5-cQ1Hy93(DB~U+4rKtEHKCMh;+9>KJQG4>iB=$9U+{Iw>pf`F#yH*o2eOP| zWwGPYcxt`H$fk7U_ZKJ#^JB^TFLUI@O1yhcNkos6E04!=^-z8{(hWt9erb7LYfcp^ zNOBleOSH@O9wB^7-Le$W@vkLnyC`yCBdMXtuR@h(7s(DtbG8~7D%@r1bP2TvkIAQR zg-^f_!=B?ppM)yo2%b|E=>ZF@DP-@o?gLtPFW$SMsSRe?V`;lh?qo)Ozp?pLG9xU? z_VXHp)I8aI5zX;3SCXEQ`(>?ZFF2I0DvmiLQ>tBJN)^(5T6J=fsW{{=G*@|I%0{J@ zm||*f>Te&@L2#NAQ|45{m66)zexkCHwT9cLUZkpu#jfrvKOuEobkwqNJntzpFB19l z9Jw!c+la@gi-<*)gDiKE1sm9dd!k+etA%6D z(c*$xwY|F5z;xheDPRAu_7RCluwr_cz--^+UKcpe$i3|1Tt+lxD~4X;KN?(1R}7;> z`z(-9K<=iQ2=Fm@W6O!0e%u}{{+!KL7t^zlW|I}V6LOrv4kO3oZQ5XKqnzIES%_ye z-$&I0DBclXgdksYHEgInF#Y&3t?pR7WkMZ#L2S^KpUK8@Ol? zHF`M8(8q4#pZLicDg}bjIh0z}h=u1&wptH^cRa#G^oBWR&zD3ePqR9!tcvS>R>js{ W%PlUz;@IF#LN=v7hj{aD)&38F)jl-< diff --git a/Project.runs/impl_1/rundef.js b/Project.runs/impl_1/rundef.js deleted file mode 100644 index 9c6a682..0000000 --- a/Project.runs/impl_1/rundef.js +++ /dev/null @@ -1,40 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -// - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2014.4/bin;"; -} else { - PathVal = "C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2014.4/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -// pre-commands: -ISETouchFile( "init_design", "begin" ); -ISEStep( "vivado", - "-log top.vdi -applog -m64 -messageDb vivado.pb -mode batch -source top.tcl -notrace" ); - - - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/Project.runs/impl_1/runme.bat b/Project.runs/impl_1/runme.bat deleted file mode 100644 index b93f7db..0000000 --- a/Project.runs/impl_1/runme.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/Project.runs/impl_1/runme.log b/Project.runs/impl_1/runme.log deleted file mode 100644 index e76741f..0000000 --- a/Project.runs/impl_1/runme.log +++ /dev/null @@ -1,508 +0,0 @@ - -*** Running vivado - with args -log top.vdi -applog -m64 -messageDb vivado.pb -mode batch -source top.tcl -notrace - - -****** Vivado v2014.4 (64-bit) - **** SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 - **** IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 - ** Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. - -source top.tcl -notrace -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/0.9/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.0/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.1/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/0.9/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.0/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.1/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 173 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2014.4 -Loading clock regions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockRegion.xml -Loading clock buffers from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockBuffers.xml -Loading clock placement rules from C:/Xilinx/Vivado/2014.4/data/parts/xilinx/artix7/ClockPlacerRules.xml -Loading package pin functions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/PinFunctions.xml... -Loading package from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/csg324/Package.xml -Loading io standards from C:/Xilinx/Vivado/2014.4/data\./parts/xilinx/artix7/IOStandards.xml -Loading device configuration modes from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/ConfigModes.xml -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Finished Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 132 instances were transformed. - RAM128X1D => RAM128X1D (RAMD64E, RAMD64E, MUXF7, MUXF7, RAMD64E, RAMD64E): 72 instances - RAM16X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 12 instances - RAM32X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32X1S => RAM32X1S (RAMS32): 32 instances - -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 454.113 ; gain = 268.148 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.302 . Memory (MB): peak = 456.133 ; gain = 2.020 -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks - -Starting Logic Optimization Task - -Phase 1 Retarget - -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 134e8c1bd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.421 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 2 Constant Propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-10] Eliminated 95 cells. -Phase 2 Constant Propagation | Checksum: 12b01bc4a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.828 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 3 Sweep -INFO: [Opt 31-12] Eliminated 251 unconnected nets. -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -INFO: [Opt 31-11] Eliminated 2 unconnected cells. -Phase 3 Sweep | Checksum: 1e060758c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 943.402 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1e060758c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 943.402 ; gain = 0.000 -Implement Debug Cores | Checksum: 134e8c1bd -Logic Optimization | Checksum: 134e8c1bd - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 3.13 ns. -Ending Power Optimization Task | Checksum: 1e060758c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.078 . Memory (MB): peak = 943.402 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:28 . Memory (MB): peak = 943.402 ; gain = 489.289 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.297 . Memory (MB): peak = 943.402 ; gain = 0.000 -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top_drc_opted.rpt. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Runtime Estimator -Phase 1 Placer Runtime Estimator | Checksum: 129b93edc - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.202 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 2 Placer Initialization - -Phase 2.1 Placer Initialization Core -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 943.402 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 2.1.1 IO Placement/ Clock Placement/ Build Placer Device - -Phase 2.1.1.1 Pre-Place Cells -Phase 2.1.1.1 Pre-Place Cells | Checksum: 85fbccfe - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.499 . Memory (MB): peak = 943.402 ; gain = 0.000 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 2.1.1.2 IO & Clk Clean Up -Phase 2.1.1.2 IO & Clk Clean Up | Checksum: 85fbccfe - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.1.3 Implementation Feasibility check On IDelay -Phase 2.1.1.3 Implementation Feasibility check On IDelay | Checksum: 85fbccfe - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.1.4 Commit IO Placement -Phase 2.1.1.4 Commit IO Placement | Checksum: b418e213 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.1 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 17336627d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.2 Build Placer Netlist Model - -Phase 2.1.2.1 Place Init Design - -Phase 2.1.2.1.1 Init Lut Pin Assignment -Phase 2.1.2.1.1 Init Lut Pin Assignment | Checksum: 1b3beedb0 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.2.1 Place Init Design | Checksum: 1af60e9a5 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.2 Build Placer Netlist Model | Checksum: 1af60e9a5 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.3 Constrain Clocks/Macros - -Phase 2.1.3.1 Constrain Global/Regional Clocks -Phase 2.1.3.1 Constrain Global/Regional Clocks | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.3 Constrain Clocks/Macros | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1 Placer Initialization Core | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2 Placer Initialization | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 3 Global Placement -Phase 3 Global Placement | Checksum: 2d993f19b - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4 Detail Placement - -Phase 4.1 Commit Multi Column Macros -Phase 4.1 Commit Multi Column Macros | Checksum: 2d993f19b - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.2 Commit Most Macros & LUTRAMs -Phase 4.2 Commit Most Macros & LUTRAMs | Checksum: 22e4875c4 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.3 Area Swap Optimization -Phase 4.3 Area Swap Optimization | Checksum: 28b091292 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.4 updateClock Trees: DP -Phase 4.4 updateClock Trees: DP | Checksum: 28b091292 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.5 Timing Path Optimizer -Phase 4.5 Timing Path Optimizer | Checksum: 26957948e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6 Small Shape Detail Placement - -Phase 4.6.1 Commit Small Macros & Core Logic - -Phase 4.6.1.1 setBudgets -Phase 4.6.1.1 setBudgets | Checksum: 29621e6b5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6.1.2 Commit Slice Clusters -Phase 4.6.1.2 Commit Slice Clusters | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 4.6.1 Commit Small Macros & Core Logic | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6.2 Clock Restriction Legalization for Leaf Columns -Phase 4.6.2 Clock Restriction Legalization for Leaf Columns | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6.3 Clock Restriction Legalization for Non-Clock Pins -Phase 4.6.3 Clock Restriction Legalization for Non-Clock Pins | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 4.6 Small Shape Detail Placement | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.7 Re-assign LUT pins -Phase 4.7 Re-assign LUT pins | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 4 Detail Placement | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5 Post Placement Optimization and Clean-Up - -Phase 5.1 PCOPT Shape updates -Phase 5.1 PCOPT Shape updates | Checksum: 246db08b2 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.2 Post Commit Optimization - -Phase 5.2.1 updateClock Trees: PCOPT -Phase 5.2.1 updateClock Trees: PCOPT | Checksum: 246db08b2 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.2.2 Post Placement Optimization - -Phase 5.2.2.1 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=6.059. For the most accurate timing information please run report_timing. -Phase 5.2.2.1 Post Placement Timing Optimization | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5.2.2 Post Placement Optimization | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5.2 Post Commit Optimization | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.3 Sweep Clock Roots: Post-Placement -Phase 5.3 Sweep Clock Roots: Post-Placement | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.4 Post Placement Cleanup -Phase 5.4 Post Placement Cleanup | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.5 Placer Reporting - -Phase 5.5.1 Restore STA -Phase 5.5.1 Restore STA | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5.5 Placer Reporting | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.6 Final Placement Cleanup -Phase 5.6 Final Placement Cleanup | Checksum: 2ed776727 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5 Post Placement Optimization and Clean-Up | Checksum: 2ed776727 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Ending Placer Task | Checksum: 20b640fd1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -INFO: [Common 17-83] Releasing license: Implementation -37 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 965.590 ; gain = 22.188 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.363 . Memory (MB): peak = 965.590 ; gain = 0.000 -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.172 . Memory (MB): peak = 965.590 ; gain = 0.000 -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.112 . Memory (MB): peak = 965.590 ; gain = 0.000 -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 965.590 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command route_design -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 11f9e7afd - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:44 . Memory (MB): peak = 1082.340 ; gain = 116.750 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 11f9e7afd - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:44 . Memory (MB): peak = 1084.004 ; gain = 118.414 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 11f9e7afd - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:45 . Memory (MB): peak = 1091.496 ; gain = 125.906 - Number of Nodes with overlaps = 0 - -Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 1b5a28d98 - -Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=6.09 | TNS=0 | WHS=-0.096 | THS=-2.13 | - -Phase 2 Router Initialization | Checksum: 258be7f7a - -Time (s): cpu = 00:00:46 ; elapsed = 00:00:48 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 130551fde - -Time (s): cpu = 00:00:46 ; elapsed = 00:00:48 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 191 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 - -Phase 4.1.1 Update Timing -Phase 4.1.1 Update Timing | Checksum: 138a095ed - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.74 | TNS=0 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1ac41c99c - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 -Phase 4 Rip-up And Reroute | Checksum: 1ac41c99c - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 5 Delay CleanUp - -Phase 5.1 Update Timing -Phase 5.1 Update Timing | Checksum: 1348a7083 - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.84 | TNS=0 | WHS=N/A | THS=N/A | - -Phase 5 Delay CleanUp | Checksum: 1348a7083 - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 6 Clock Skew Optimization -Phase 6 Clock Skew Optimization | Checksum: 1348a7083 - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 7 Post Hold Fix - -Phase 7.1 Update Timing -Phase 7.1 Update Timing | Checksum: 1699103a9 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.84 | TNS=0 | WHS=0.092 | THS=0 | - -Phase 7 Post Hold Fix | Checksum: 1699103a9 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 8 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.316534 % - Global Horizontal Routing Utilization = 0.39649 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 8 Route finalize | Checksum: 17fac11cd - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 9 Verifying routed nets - - Verification completed successfully -Phase 9 Verifying routed nets | Checksum: 17fac11cd - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 10 Depositing Routes -Phase 10 Depositing Routes | Checksum: 18e96ff60 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 11 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.84 | TNS=0 | WHS=0.092 | THS=0 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 11 Post Router Timing | Checksum: 18e96ff60 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-16] Router Completed Successfully - -Routing Is Done. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Common 17-83] Releasing license: Implementation -50 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:50 ; elapsed = 00:00:52 . Memory (MB): peak = 1105.984 ; gain = 140.395 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.812 . Memory (MB): peak = 1105.984 ; gain = 0.000 -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top_drc_routed.rpt. -report_drc: Time (s): cpu = 00:00:02 ; elapsed = 00:00:09 . Memory (MB): peak = 1109.430 ; gain = 3.445 -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command write_bitstream -INFO: [Drc 23-27] Running DRC with 2 threads -WARNING: [Drc 23-20] Rule violation (CFGBVS-1) Missing CFGBVS and CONFIG_VOLTAGE Design Properties - Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -WARNING: [Drc 23-20] Rule violation (REQP-1577) Clock output buffering - MMCME2_ADV connectivity violation. The signal clkdv/clkfbout on the clkdv/mmcm/CLKFBOUT pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned and therefore zero hold time at the IO flip-flop(s) may not be met. -WARNING: [Drc 23-20] Rule violation (REQP-1577) Clock output buffering - MMCME2_ADV connectivity violation. The signal clkdv/clkout3 on the clkdv/mmcm/CLKOUT3 pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned. -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 3 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Writing bitstream ./top.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory for users of free Webpack licenses. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-83] Releasing license: Implementation -write_bitstream: Time (s): cpu = 00:00:33 ; elapsed = 00:01:11 . Memory (MB): peak = 1432.953 ; gain = 322.824 -INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 08:04:34 2015... diff --git a/Project.runs/impl_1/runme.sh b/Project.runs/impl_1/runme.sh deleted file mode 100644 index f96672e..0000000 --- a/Project.runs/impl_1/runme.sh +++ /dev/null @@ -1,47 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2014.4/bin -else - PATH=C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2014.4/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD=`dirname "$0"` -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -# pre-commands: -/bin/touch .init_design.begin.rst -EAStep vivado -log top.vdi -applog -m64 -messageDb vivado.pb -mode batch -source top.tcl -notrace - - diff --git a/Project.runs/impl_1/top.bit b/Project.runs/impl_1/top.bit deleted file mode 100644 index 71cfa83508a845e830375c6ecda63966b5eacbde..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3825888 zcmeF)4U`9l?4+0MU|YTuc@_WS?l z1K&9HeeeF@H&1@k#JAot7ryb{cYpBv-uE}}|E8NJUVHOvZcgt?SHJ!{Upq1J{onO{ z?>&6e&FQ<+%VNqc-!O6WH{5hn`tG!S;tg+{xcQAS<=yFx#~+!!L`W$;JvyEyyT#6Y za_T*KWKLvxEux51Cr)KMZcposUsa8_)7kM(nOa`U(rv##QF~@CUDk%atM&IMI_0-_ z=B=jHYZGZE;^Bzr$_}OWJl#5%iYHRusk>8Wty5=_j_&x;-%J7l0t5&UAV7csffWht zm|juw4K3V0?cLBN_Q%5ZKi(hhSgaM1^5x#Gh9-|wSU&SuhhivL-eQkG^?%1`!D>okP`0RjXF5FkK+009C7 z2oNAZU;qU6eQ~oJS#EX%`fmCA`{%BxEB<@Yz?-GbEl)vir%h6WHIK!Ct%1UCP=`qb#OKVQvin3n(n0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK;Q}x*#CI{u4LA~P1CX~ zOkl@!|E_SYf19Rd1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U*f4>ivm3Ukr54ot7{=bzQuD|su%QBd zu$}ZihOsxbp%xZKfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oShXVE^M6ruu8#qfq**Kd$7~?3nJ~wf88L{_PtrBS3%v0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0#|~-(AiC2 z%ihPF^rkkwaT-9N66k~Nr1vo=y(yhUfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72&_V2|KqEed7Wp?*13Mw6Iiamj_Ku=-1u^}jbEfC5+Fc;009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FoGufuXZ2D7-#J zdmjhXn_8bGs+GVB1^Qq+>3tkfZ)$~Wqc{Nq1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0;?6+|HNu*Sikw} z>sPg!39Lw9$KP2|@eM89*wCd}7y$wV2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1o~58-zGkv(eoDch$OWX~(^(o=I0q;$^FNKBMO^ zUMa5<>q&qB0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjZJj=*&fFTE~n^!&x%YI;*kFWeLY1PBlyKwwn@FZ=M)v#-(f z7kjJeO)b4}QwR_sK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UATTeG&5sWD*wAYSyZsloKEE(Az~j4LJ;06EbOHnj5FkK+009C72oNB!B7wob z*?ad(+#kOp3WW&}AV6Rh0*)uEz%%QWB2XLr6&)%De;qn&F!wd%R`_j0=fYd%MQJ?< zEK6WIPPz89mQ}Q`3$?zxuba2B#tr^yaC?1+Ub(W>?&s3e{oJ?}w5_!j&Qsd!TT9ix zD{X;u;oZkp(1Cqkw0%6;)8~y`M)P*xxQs#rQDl3zJ>H`9|7Nl)G{@7zi#GG~#&8Q>y;fA}qA7UvMzo_p9?uxG;Y{cNJsRv1-d4 zuU6r(vROx(7o0yX+@4lemC|b@P~ZKz`I=f6Y(Mk4#t2;T0*&qe%N1|XHvrv3?~YHc zx=G!tIu-S%R$aLY2=swKvHhp}pcR^Mp+M`}e|cfjH~|6|3UuEp?*H_KxtBHGt*SF~ zZ|brtT$7pdHK}D&DjE9WOOG@)@Ta>0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5;&K^16UPt^an$-fluAHCGXL7eugl*&PD_k5dM*Upb`m9as; zr+oMOwn|S}4*~=T5FoI&0*>)(>$zsN&ENL0W>s!fMMHmUqn5N$%PBW%LF=}hp-0!P z>P@XU-_)hAZsEKChtV>j zPUz6PE?T3lkuktOg|qwA*64x@)}q-SU!&jpzo1^Dmo1Q|=78_J(!R@H`97U7Z?^m7 znm%pbGMcsflglVHP(==O`ecCjT|@63C~%D>K!5-N0vjZ7d)hUXZ_0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009D9N}wG0wb`oAXB_yB zu>u6vRiIec+Bc%|t@n(xjZm`;0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pkgDJ39 zKL9@Vxzt-#Z)z}cw3+|`0t5&Qu)tdVD0}_s)LT_=Y7m76{w&4wPX_U2r_BTi5FoGt z0t0`R;+ZrX@G7wg0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C7URq$l@6_7;T`#?Q136Wn3}m-yGywtx2oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNB!egbRs0HWS%dQg5~wXTVNmji9vGCCYA1n<1=i>mir#8^Q|*O*&7WVqY&ir75FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&USRl~*M3dgs zf})EjTb?1XIE>uB5NP#9D^eQ1yH6UT83YIngh07At?YfGNpEUdOStB?WffD1z}g6u zYg5fe*D~}I8@)u!BS3%vftM60UUF*RPTc)J_ifWOi~s=w1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0tEVA;4*$BpwHH6=;1zVkmhVb0o%zI z>{P2nfB*pk1VX?uzW-hZm+=E9eYO(2Kip>x(i{Q=2oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkLHj|8$K?W-gHT{mu4>00{XK5F7JoAPbHvCM*fU+l5`c(k!rbmO0x zOZR=lFK*!N7iYfA8Y?ayLz&*#6U- zw(Rvq6fC9076jigkaeEcY_Ha!Hy%!|+rq*OZySLo)8P}l~ z)K`nQ=TB&@lA*0d4rj%`WD$y79#& z1|+97@N3Wu-Q8VP@t~f14Ru$dch#f_tdT(X1=fw%sM?LL=9!IN;Cd~ujGa}P>haf! zPo-vie7%;pe)aDD(E8QA!8PaY<<(L*9@wAx*gd|%a_hIaqN+NprEc8rlY!ieeO4{V2+*|vFW&1n5`xpAVeJg0) z@oM|nR!ehnJvGM$^y8I>RnNC!5$L^}91Kl{K)7LM5?tUsc^s0Vp&04nQ z=YHs0Nf01FfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pkYbwzD#Kqp!nl5O)Dr-HpUNx(i009C72n@79?-Lh$QvPp8T0hjEJF|URdY0k009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXFY>Ysy=qzVpyfI5(v!%4otyxw5P*H2H5$!ljshjSHwk^L6|91IB2c=|vP#U^K zJ9oc-i3zd^tbssdu8xKA8dSZZRqXzw4PD%ZEX=-ZLztJfkVaPKRl#oDoJ%ij<~}O4 z`=9htV>E>T0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7e?zzH<6xYn*# zT}gCdT+at?MbckCI(Thfyv46d$74daeL+03c$>9?yL4_3;_b`EYQ7CZehI6i(T{ThyK4cuW~ahEYB}|e(ZeKpp3Mh8r7p3*j2Dj}CTb{>$cHcH|O@8SHcfWgB7{Byf zeKmD=U$tgcjVa66NXmNr^~S5JbTeiiimiQXguGVmwrXi%Jb-KWdjr_!MVnl-_g1y6 z9oOdKR2#t8+|WN*bOi@6GoL%WFN_CoRa$!oug#0M`1oUSAbISutS2rX8^G-(J-_%G z4qoo@&hcbhJFe9_ajFgAd*ar|22Wp$2@oJafWZ0*97z-PRy~a`jMs08>s9ma|FT{+ zZ*;wJRac`PpF%E-H@Y3GUtYYjwn~^yi~rjy>o$?=S_oYD5m#w%+@9ozYq-t=aqw$4w8 zZHAs@61Y5p;__zeo4JOrp!X+zgMNvIjQk&$D6j^TyAQBjgQ`?PfB=ClD^Lvhn(f+v zWBjF#CzqN&;KZ6wfB*pkgC#JY#ycU)I?u-*tmeXW&n$@sYANx$qZ98dbCC$?BSj>h`r z*Z!|tY^?@%bxuXge>_g#vb?pHCvltV@#!zcLzs{KpI=SsZ`_g6Gs|epo8#i*2U2QZ z4lsJ@@{RPshq4b}Q0@aU{+nrLIHi}JE-%QxywMBJ-rsw9HT2(H9}nW)*M9Z$W%}?J zm)9rFW*YiLtVp@!%Jh6Xnx{|f`CFe(zm-1z@zbr-tq-Iu##8#mcb#t4^QG%pvhqbH z)blEH*N-kTNfrSDTV0??MXX}=x4f`q`C{?;*R1?Ai`SoaekodCExh_$Ug(rxUfHVD zT`Q=2`L!xJNCIX1pj{49lY84b^bdN|QkySu{jaWj8`|gp>%No$UO>K}?YsZ)1sRJZ zi$(IbP}Y+Bx^nTAT(k0vSD(`8&e;1_3$Ol`2xV`6r~LBY|98sw)*g zwZ(#XE_vC}@dfo2mtE6<&bV*w?+NPpKw>2V)_!jT8rbg` z8$hs<_y79=SesRE zAp@|E(Zud*Zkrm6_I6rX4pL(;yRAFc|2wVx5{*eXDKHhRwh8?HjhJwOUZOuhyzQ^$@tEz>fYq z@5(RP=q+AgNBiR!H||dFrWeND>036w z?y6O<+CsB#x?AzWbT_{ncc;(CWqZ{2<*M|wM5;ID`9PbKpx8&n(19ol_zYjz_ssNo8>S3+VN89g-g9u`Abb- zSl=M0M_#^WtuIzt)57^znqKAN`)BrR?pbwP=C8i%9C_x`ovIh67cOvq`oj5_mtI)k zz^B)sPr@KS?@+eK=F^=C3)7w4`QiNZvYolobbBTP0t5&UAV44$MIcmvY1?mB!z#?@ zb<*?0+vEIaxN{CPpE*A^nTCr<#b4ZZKbhixJAJ;u!u0tGb0bU4zp%a~(+lex&~!c% z=SnB5#$QuZ!*-bI=FO$gM_G);Z~ZJ4##2^CfvV6<^}A;C>CPMr(+lgFpT2PZ<)s(a zCry9=0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfWXB9<$)KWO=#x zWV!1o(0u2@${S+~bEG#`p!wc~%WI!_&Anw@I8AyZ1onRT;-xfd=ii=OJW&n-0t5&U zSVUm&_b!rIeZnGann3GS7cIITC&SLWE@IiZjOIHoT2|F3+b+tLv0(zuV&S5iV`G^< zS*Wtf>EZDkH@O8Czd)e5GYv1ulH6p0=FT&p-Q*@%`~rb9pSAB=Kq7g?3$!kNcR1lh z_=aIN#HxVm}O zq{wd6FPPTJs-_5QCs3ViwNJSAygVI9AD>R;9g$O(DLvM#;*T_9G>Z+TQ7^OUC>zPS z5Mx<)f7i_uuaQ9DrqsMOeCw5QtteYnKb5CqFj~**_taCPsHQ}^>W5T~pAQ#~pU*V1 zN`W?Yz?; zL1lI_%d@i49*se@om9gJ)ns8E1nS*7x4$b+x}J$tS>>Ec&8$&P+cr{EQFT0NAL8q$ zs>xNz<1daU)l^~41#B3taoQH?&{+L7X3NO*W41YSz=yk;yo|hkh{;$Nf~#(yr#*{n^n^W1XH_^QNe9 zPGIP3PTqASOU-m}GTwq@2dZOy^Hr@IQvRO$$Q^kx*2wCuMswfbni)&8XAf?kN}b6d^&wd+c*dG!BH z-BK(>3-|7{{Qix{_!t9{K$Pj@PQNeed@tu zKlJK{PMo;!-q*eRFW!3M-2M{}On(0D&9R%Cjj@qNHdehqiH+puo8x_>gUh<~M!V9z z&!jUq-Z(OOIE{>qH;<;;<8kZUEsaCj6Rqb)_cad>fA*@YQW1xecZ^KNp+CaV7lw95 z)cGy8DnnRffxXX8h3;*Whi4}rYNli9&A)tj>cv~G{l=7b|Ju}O^KeQZ`&i?ySm^9+ z4^6fEWM_nwsy|_U1or;IjW@QvcTPTZZ1&jfd%t{mYU;&zKAO@izBu*#vBR^oSAA?c z&X?0{gomm&=#%y7iTPUh{?d&RMjo2ldE0Fd9XmSv%AedhJ2iaw{dfGz^}qf6zGKzC z>tp3JDLwhsl+Mic$rtRq<^k7XZ0~KU{l+klx~sP!!sZKH`v;vL@~HZw{h{w7akrJ- z>D{z=odkx*kBy9^G(J9Y+tkzxM`O=5HU7}(^Vt)h{p`-Eol}qh@;WV7wMz>OKXh#5 zwlilQdg#R$)9ee!Zn@>~;bX_1fBqd`9DTa#lb`(Z(zBYfP6ET@&B@6dZ)_f$xNYao zp`+<+n(b^S!^3eRe7N@Jby}`!mlha)s5$vi)hE#hhlgT2*}1dX938E;lQv>upWT3qbd3Fk+;JGE{+U)I<<2}B=^{!!H3h<|Z`aJ7`YeSu2nZj(l;uB@xK zFN)|~8Ie~d;_rgc?XGTo!6c)XArR!vk9E(w;;P4XAGGVq+Qa-Z>NLyc3e5ALX?H}m zL3G0W*y0)0_E5}C60NO3-uVXWy07N3JIYfTmjK6DI~1L*B*t55VlFSDyc(_U-0H;D z4$t>sZH%=VRNF)RjmD)aov~JojJES)Q|LS`yLIVzE}foTt43YX^+Z2}X8hm&|Kza+ zA;}ON=Dm7!@6)x);8mG=59cmXZrx+7#Xi7M9AC2Zd4_zEvYkGXVQh!=5j;?2oNAZfB*pk1PBly zK!5-N0t5&UNH2T#B7|*4Z&q_bdg1im(o(AyxNebqe)0viUMjtyxa2AYTE)^8bU(W9 z#!HtpW%UBp*H_hFeB=JR?Z}`n1nTWpT>GiMXpBZ&An;QAuI}Y4-S~ppjIOP~`NKnH zz2nAeSjN9<7-4Q|cD_`V8NWbyvYnGh>E0>jf^U7xc6o!93B*n-?sV@QPSVx;Iu|{} zl|-%KRJ3a<=HfWov`fuB4kgAr(~q8?-br`!yQy|12Fn$gyZ*8K=2RB>woo4XnXHx- z+3}QSYU#0BF&;gZCgT|br%$E&@hEU4?J3fclj)w$rC&uW<RQ$@&UuiU^$K#h%w>QeNK3TD6M~b`R=WJ6MB~PUT z>EvB$dtSEg%Hx;0x22DiPaH{ER;2VyySA}39>39D#72|%9%y22VR-^S62>xm|C=o)|;b9^h*4X(!;IEqi=q5?5=9{*j3dh z>nYt{>(tRRtSXHegcS*7QM!>%HlAplEVC+c)yGaYQ&~1<8jX|FWjyyJc1TY?F+G#U zW2DTpGEedMvXt^PKAooX*xzNJ$xfDK>;W5D%$S<_$uynCAwN%5=nUJV2FnsCyQQ)l z(t+ud@uL5&?X^?mMRZi`s){TfkK@T$?713oL}~A-qGu*k`DFR=M*T=<4^>8A#+DMH zOj&F^)$iMvRkE{ZtHy-o3xrzqLp;bVdLTl`zT!)HTpm0=lWISaUzPoQ_pM@)>Sd8) zGfCUh!De*AT*%URc`(MKT-iB-be_DC*LKZyOt++X*#gb6EPiV{Yc=l3?kZxgz5j9K zXq?-|N!7Nhk4ED{?)s0XBL|K)(!o}JvNbW5rvtkhzx$aYuJDduN^i!8F=gzaCU2_W zl($Aw<6s<|;s?9Ss4Kd7zV{+6ELR}pQqkO&ilN|5*(`t50C*YP{zI8i^D-4r(oHS_V;9f)K#v9r6nr`l;%C(3%HZL-2a9vzZb z^PHX;t4~xf>}&t+>9oB}wY&`0dOaSl5&clTJ84bF$j$Lay^5>3zNK}bktWJ?cae%X z0lPcS&}!Aq5Y@9E;>jtkdLxf=!et56#~b?tIdAN}A?}^~)bU2^fz}(!J*gEBcL>$d z6d$|H#1m2S^zUk8>053H>iB;kW+>B0{LP#?bu#*4V*0V`UmFLNT4S_`cGMy(wwrk0 zBCJTD`$CSV$^>B}kKN5rR~5v5XIH%7JK-(y+Rr|d;w?)nj_|Q#Dx*Mb95Fr0Mc2jK z_;_2{4s%g^LMO*?g#vlkFnT12g;y1Dlkie2eT>3DwPcOTd_QtXIr=At)r)uSIeJSRby|)5jd6VW`q=Az zBsRZzKRLH^TTzP?K9DuX#j~u)8)?4@Qy83HA`TmE$a{t~p{l$kq{NRamKd|eO`%m2W(|f=F z5B~KJp8M4P2k*W4ukCp7EBAfn2lhYo$m`z!t~ZVyJNTL%_ull8@ofjI-tIgfWkoGg zxGS)4-{|PjPF-+%Mdu+yl(i#JMWCoR(|Zo zq1MmG6K1C3;1Yc_{q*FsPvukT&h%V5HdH-%Ci-OOlYjjD;k}<5jxShRPsLMdUWoje zFGi^EtdFEK&(B^nb*Gdq$FM-MchRlUf8wjp&%WYkKl|Bg{@K|VUp(A?R?WvgcJK&ucUh}W2eOF44&JGV(PYJrJ6LzK_8l4)R9G?8or(Ug3R^Anh%+Y+;`OKs7 zv2PXHao!$n54!pDImXsQpw&HRZ8Ybfd(vG{cUl$4d(4R{?tYFH>CX2ibNSur-Fd|; z6?oN8?TjPA&N#!``?=AlpN{@${_@b!+uk<(*?;_#`BO6wM7lY&Z|B>Z&1-)4x#ylw z$3|~?@o<`oXQIS2&)yMdYP0F=o8S8zkvcPb_UyU8h~+<%(z!ohX*-mk7kK^8cKf6{ zVn2N>jwgThg%{rTwuc`2uYdl)=gvNy(y?P-*f;yO@$qz~_1wO6?CI*{`0&)>c*Mh} zzxahOL``RZ`O8%gU3cBtvwvBgmA#PCpGPcQu0Z{x!5PNsaOeP_>a zC(UX*nK(QZ?dHg4*rXHxali72B+1XCc{n=$U$83uVeEWY&&0^6h zm(1+W*SzbJMHN_yKvu-JA1j&Rg0kKD-g7~o(bWso?oMU9mJ5e-)y8>lHuaUpSh2V5o2 zht2^fvV~O&6xICkE9hsu$HT{)SU6zA2dtF{$X@&{$nKS#3GB3ny0N z?Fzb?ow%wXoV^(sl1lkEdFh%0uyO6;@Zni&1mC~TtJQt^uVi5#$CI*5>FR^8jl;>wvL1Jv{&1^S zHp(Yb_R9A4^m)|{Q6xe>vL_!po;PAEN%4hoge;wo>2bMgjOXoi420zgCiq5WQXY7_zZMv3<1NHiFV=|86 z^*B7losF?eD^lE%GI~wD-FtJpujrFgkrBN!Rn)8PWHjcDA2*2VYIn^qzU++V^Et*A z7s%sfKNGv8gJoQgb+C*=V^h_1X^rz0uG)~4IXeMq^OwE}xJzgv0uCD2n#SHB` z8?!TIW4w8ACT=yUc5x?TBZ~T-h-#*%s~uRQy4*fOWOVjnRV-XeAkK^81zr7+$K2b) z-S@Okw_&F79%OEuoR(M+}PWhB)@eW>w|~Q+)3dAunT4&P0i- zuGNS9d=>L!=d-rXNZh#?)WLUmD}8gG-V}XNq|-<1N8f^1vC}Q@wB`Z3#+Cs)A8qMN+@-)`i)3_<-i?iyO9)DFNEK?vh zlWp;GJ~BSm$YW2m|GmL@Xd;XI=5C7PdQ=iG`ufq7_S}@mpuR2Ll4bcw+IGB^#chvS ztG;J?JR8dL#;({f_T)us9UO~I<3QC3BY8bWQ=9_mlHgcwIM_KRI+dp#_4-KkW*JXG`^Qo3 z$yCNLeq<^J!et2DlXrJ7W8YToYZ~!pq!VJobnJf)rlLADbap{SykY5tHh5OF>a9k+ zE1ArTs(+%F?}$TGyM%pKo8fW>^(;1pw%@*@+Hi*Bpk1VGFyzZ-6>h(r- zh|kN`t|QxudfZJ{)ewi8cFEWs3M&$5wyG~mQu_FTdUogJp7_AN`PW`~Up-A6XzWS1 zjvtKM5|2J|@_P=v{WWP~*GN{cj_!L?bqhoO9pl@w?>?HvFHrnp@%6Q$yz8d8B)L@?~$MS_Ih=_Yj!a9jL|vm{$5ejuHeGq6~DwW`Kup{cO371f9!gG?XJ|kYdCxR zsSg(4dT+DdI2fEoGx5AN9U;Jt_L zx%aknA2_k|El+*(xqT1++4VO+GV+xZ55DO&_nv!n+k>ym4rQ-C6uZSZ5ZO?c+b_*l zy?r0(SI#~7mOCGL^wD?+^3$^??*F!j-gDn?KYZfh6Z>ED>JOdxrAO}njuVgEeCs1` zIq}BV-1>oUzvxa(0^^vdp;2-?pqwhWOo)hiLP4AdJcl8%% zXX}mG+4sF?_T233v(G*|9dCfXr79;ZPvF{j?tVvAa%$@E&YiQ>`1L=u`_uQl<5fR( z&pWRF?dX%|?~Dt)@4RjJ#b=)R(El|%n`YzY>e*(T7gT+cKTypZee%xwv+w`Z?Cj6I z^W2yJI6wENkvIFE_sss`?Cf-POJw@XcUI+u$ zfA#f0`^R5>#TRD}N1x2by^Ob=JzErK&&K_vv(;U4RiB(WlhVxDYTi$Na^%U@;rD;) z^{@ZAC%^pVZ^-`ig_Qo&k4B&T>}T@H*iiIIrL_ET=>8{urCmDi^S$MkYW!tCbH}ed z`4iXtqbGl2_phbH&p&_Xv(>&UPQ8jZ{aov*haS3WN4$wV^Uve@`gqI!Ts8dUCx<>$ zJbUKM;fLPznR8!$?k{6&>TD-}^pjtXE}69Ns+2A_`JH&)ZCa#e8N+h$nhVCW0A$9JaT zxZi#Bucm#?(VcN3mgU3a>6z3xdMtjM;KtF>+1lvvWbBo)G<0-iawLsDpXbfa$6D3z zO-#?uPNk{$z3u~X+xF~K9BryS*eaWKMbADu`pTc2Iy@Smt&H6K?7m}9A3nVE@RRv? zbK>myp`*uMd~xc=r=OmE?dWGGpFQ`d)k$#lNgTTm9U5iOs2`{k*_Psfq_s*la?8;^72so6h1G~T>z_Q^9ZyfAUw?2W^p zot=F;wxd6N@yVI<3pz7?=FpivduFTcB)#L(GG^vAd8lk-$7 z9~t_>n}2!dY_;#Y?(xIXCn@$r_Z<4{_|7ks(?dfOx1H(q$#Atl%4S|TS}h^YiqAC9 zyHmUA zg>iTKl@nk4?pW9IrPsIf%AGHh&5tfRwy^wSg_cuhvH7lqyv9FoujTx%<)W2dYSL2q z7gbPYOe~r!b5ViXr`y>t@zSq;ZhNs%Uo3Okd5hQCJNxRE&|B9arS|{t(b{}vExy!# z=OsFLWed8pN|)8@mVx&*f2Zn_yis;PA-UjDW>F;XeA*Ht?Tc8VWMo!F^KQq)rH`GQ zh}sjY0_Q_q^>yLLG;_UG&Q0&e?PNZe8By68jmu-_#yaV(Ic%L@t?Ih%DqR0#MN08M zrc@cV7_GJc4@bIQtF<%R8J)|9in!{i%4?U4>xojkL|haebESAc)4sMSqKiT`J?e?* zd?Tu?PIh}zHE4%oE{^De(Qam^-JRxEdGj@NOAKP1cV4@vT3LKI@(ro_t>$A1c)kDQ~a ztn%BNQr6X)+oZ~P7HT!MT1;G}+#ajOtHNcLireFJ<|-8%R(5-5EE4UUD)$NqMQ0rw z?{B~EE50L@T^WCI1zz-185amgBB#WL{&SOyB{*%QGcaf1rALQSfS0!RJ zuBeQ`g3x_wx3jAaFe)ozP+gfHmzB3ewU>)2F>G%~c{Q=9ifr`|D>)aQ$!6OAJO52l zmT|0zYqaV!^|;v~`XKvA9AC<6a_cjB2sP5F*pKD0QN_#^VQ__ibr6c;Pqwo7q5G&L zZfVIIjV$`CeGO}r>-199Q=R$RBb`@%+07|BnVsCIJvNwjPBpCNtm10eiQ9?Z!4~MX z%DNn_w;qc%i)T7q{R??Ln~3qa6uUW{*VEClBB+P=)Y4GgWm=D`8|(SW*3mMq;x5v3 zbr09S%i~(rgS9-KCKU5kFXrkvlG0=8RC#nJM#{>vH&i{@31xSrb8=9ny2a~Nsj8pb zp_5y75{nGGb1gDypt6d&)^_$rMYWgs-d0)9Th&8UOI5DT5!09ZM0n)~DLHRy`4WvnW+hW36wFmwhW;6BBzwb-`-%YB#gu?j+k; z)#&8h#L22L?QpcJrJXtwV=*3~8@Cf%Ymir~Q^sGTEN03)_C>=7XYwj%ye$9jN6KI!pR4v$@yOVjW*+6AJbALL;<9=`<3Ekk(^VoJYJ4U(oarZ?Xr#}ad@{xHJFfno z=^TX8T&QJY3x}`!9MyZUK z?Nxs-PJwo%EY7XkE11`Rq>R`5Xjv9N5*eq{C!zzZjUaY#MZGFgA59V0tNm4V=A7s8 zlFr8VJRap@yirsKp4jKb2BuCP5^F?VF$8o@;9k z%3zGnm?+yPI_awSrnodRwwvr=9JJ%uawJd9@$}KkCGL*Tn-y_hzo$GEiP#3pEPsFW z!gQWRe)Y1?qI=_gXY}z6k)Dp*isN@eA{{w%{W9M@)itYSOnD}yGG=HN)ok5@aUXHa znod^JB9!y9Rmr8pR@q*Pl#RD9=1%(>)xPBVcn{Ku2h23mp6@vl81(~r6 zjzpgvIR4rj>cJ9!+u}KaC$|l?veCvzvba3Iy2s(EIF=lYJy)3~cNMLXJkH7DB|aC5 zT6MZxo9w2mQ|u$DH93*8){(~i{isDOcP!mL9M9uCnl)~(nw5qc)lFnqMtFJl9gTO5 zf6ra%ydsE;*{FQGl^6Gp!cv@-n=6Lm%vA0Tj zY!PEWT%9pz>7TU5Mxwjwr;m@+x5sAzUCB7_&g12NLpNQ09Med}E90*br5fG*U6CL4 z$NzW)UaN@ij(7FD@~v{X=&t5V#QEH`J#VRi+OPP|t2=xv_fV|j1J4xQ4?iM#KD43_ zqDM}}Pv^u-JIWRDBQ0;Qk8exqshCnv*|(jyjtYzOGrq(~d%-ciijPL$R3~;3Uoj!} zN5%E6YKOAz&N!UDE?%8+=T#fJZIZW#bF2qr=b!$6_TC0guByrxU1!&>%IQOsKGms& z4kVr3WgCjfV2#~m5M#2tsKhohOeJ(D8kwX)WPr!T3^$VmCeztnG+4G=Zi~pE&z}}~ zIAWuOJJ<0#W(JCn=T)XPf#Ld`55VX1zH#)5t$>h7y6%7Nb58X~53T~oxY?CDL+>M+dxcQ<v~ZgcZ5JZee__mYgCN|WAHhLCaxM*M;tz;s#+ zx1zuM;51csL$T9!s{|dew8#_!^H^bSCkA_VlNK2xd0>g1g%*60z~HX0Om08_`MlX`h|KWNpLxGtn zv1w)d!fWJF?jw zJGSh|PHf#;eg0*vE&Y?9zUy6M7(({^yjoR{?3}26JSus`3Tu;n)#~BHtFZRulVe0b zMXRV*%lKh)r-`SMpM$4xBJdM4J* zwZvli9oZM+FN{6=2M?+}`}fCp#P`u3Ecsr1Z%d1RRe#q6MwNO0_|VT-E!9o}Q)sMSDnUl&&WaywakA?_rq z$)~VlE{?CPnjntv4yz$UmSX~yF$x(eT3!8bkj?_U*<#ghH_8qTtx zJiRx)H%WV+N@Hd4xPKL!VDjLie?9e`Tx@koA^|i0`=jIcqMh7g*e2uE4}AScU+YKT zHGWMO`mVOztDHb1`4u$K_Y@`cE2O_t(zJ2>mBilQG=Z1+0B1ISgAemtEbdf1Z&JVF z@z?x{`F_1@Lu!Bv$j!#TUa7ym+|ev|>I@Q}n2O^;`7uB--^@&hHy3k5_)WDL9UZW4 z@RUu0I}$;Q+W18;yPnp7qti&{2d@!HMlzZ|&Z$5-NBa8A${J;9;Mc5yGk%{m3tM#u zlO;CXl<_!`0-0%+Qr03!keZXHLu9zwMNF4z#9u)JW+CQIuNhP%scpnWByht5)0FWm zM~%(7_|HwoDP82y7R#8GN5;11r zT9cf?tw%y=(tKS>`<^cb1*R}WXw9z@t@AV|OAc&@#@xWY)QS2gaNtRV01Ui5x3V17 zoKORB-q71eW5+tp<>Qoz@pg4J1LLr$awI2q@-IkbTh$|`m!PRt*1^^ zEJ`Y-h0(U&4@1KR4DSVccafWw)`IlSy*-5iqkW-iAhLlX8bGnIM`x|Yfd}A4UKV(s z5VbH!O1Qi-Es>12;i^EamfNPD6v6zp2G^Oi*Q&VAD`?g3T$+aNG}H$DKx6nhyw3>< zxT-2j891nR2m`GO2N}o)9O0G|XuZZ_4nW&*Rxn*ig=uW6lNN?><^K)0U!sCNG7PT+ z!gKP9+=}HU@T9hCNgzb*bLwoaz6R;ER{RxYrMm}eO7!;95Rh}^fwy&W1+Kh_uR8~1Ovqg z))N|&!RoD&D2`9zyAOk>Rn`7v@v(Jnfnn(rqtp~9a+-;&@> zPJ`|QT^4C&6vmHcJXg^mJCKtbg`#0iehG0yc6kFNL0E7QTH%nMj>1;LEwIK84pt*) zMg$)LAW$C|BW5hBivX9>^gp3Y4A%}?isI{}+e8l(h?*WN7BLIMS~*;+DKB#|%AkFu zbrJjiDtVK*4bmSm1u~v{XM%Iaq?`6xUmkJ$p2T*vNM>e2wm7$h)nCy)C>dnaO z-@rrsmWxVmu=O8ykoEy0PDi;O?*9O|3FHTYc>23mQV(+gFafs%4t?xBixFNsgbhtK z^L`_s>`}s2i#MIhFyr_(Onb=V9AITGcUbV@ewVtn@Lr0`v+2ro#zi&H^<*-zMK6-u zQm)(CofVJzdMkQ2YYN=;w|YXOMYtn$UQ$cF=+4d_e8|AP0m~fw&~6rBg(=D7*R9~# z0RHYFKsZi_nL3dnP#A~vuPpq2qBGN~X&8+|iBsGBx5+gNdjFJmUU(f{uza9UTzz3$ z%@tRs0yBzcEOx}FyS_-ReLE9#eSN!*Q&p`IlSwJ6`2K#&)c-)>}$7dzJBKon}5D&D zExPW{2d_Qt!t38Xc+KDqy9ck_RsFMzp6$N&hHGFG?(W3m%`3gR1@}uECZ8T0+_LMM zf8G4qD^~Byv|&MG`;yIPuS_hEb4B9#*@R#dv=0Xu?Ihti0|t5n>x2i~WLP+Td2@5z zJD2<=)oPqqSGDGwyRPD$HJ*Bk{@{$=a13Uc?EheN$t^A8#`Dr=f;P-MG*PKAZuxLk zlTy#HIMc@sunQ#nI3MwM+z$AgDwtJj;hW9Pdp(XF9QCNb3pQCbepNZJ$vb{vs@0AC zlRut3e8ts)IKiXB+9Zv87sI?lWRn)s3huH@u>MHTxt7fl}S$9F&i z;9WGgcss&|=Fp+YCOg?C&nTL<_A$!yhT^)lNu;H=&*0eJ(_(?IJrG~R`2*Bc0Um`qs@Q$8eP8>eGZ>(g$*Ku{E zd5Lzii5#}cDIVVHH*E6jnWC1vJazf9bL7XHY9zFJjs$(Z1WvN+XwYby-v$mxMz7>`q(Lr`jXE-)i zVfva7TXckcz&#nl)0R)WN;54!6=g2o_D(UvnB}k)Y{c4wQ|yPmEfw&YcO;<03ru%J z5?;QX&sy50T$h~q|k!(JS%))vmot;UZ9Mqf#U*mP+ zg_o6_VTIS2_b6g^2s#SC!9w@({a4k3f`o{qY7w$Fs=ZI-J}d%*$TYc#eI!1Lef5!2 zCke;c_gQ4{jn3}|muv(GZR4y?{uvAkfoe_2!6#-BV^B5>(#slzeG6-ITDJ<9pS|SR zAsg7>XTgLBF5fi}?6Mbv38E2$v5C@75^VdA0{8!X^*&zRh3nlVD?o#QTPpTv5+pU! zwI=LiM)YP*aF9+`4x+#gekcV+;wA^l!FxY08=ymsXvB$Pd=AXh_yqw+_zghh-4xI| zK=m9Z_^KIBX83sb986|o_X&P`eVF3ll71Q{MVahXRiu0dL;N4>@ zF_g`qjS+3=kzCYL4KEgHxm=fx{b$kyDl+iw;torL<+`a}rxV_ifjk?N*#WRPeD@4H zcQddrIB|J^>DunIgofNOQc9*5>n5fgVy$>x2QIW9sA$2Dlo8zd-;KI2x%)S|q^M-8 zcv~612Z}DE+aMs4y}bhx5d%#@2nsD2+kRY4VQKU*(l!5B!OS*!j%YSWWRzgjOq;G{ z1sEl9xoj@9LPc1}63JTj4ATXidJHx7a_>U4fVE+}l332#L3@--P(nLCT1hK>=d>J_ zbO9=_RCuw1Hsffx5*ui38LORFP#Z7}#4m3p>aCcfwG^5j_%JbnMwI`8h|o-vVVsDp zNo*4PlLDB5G4k5>6Y*?9T?U$ZbZ}wGlIHQ)G1f$2V(@k`VEawzfgB9`P;FjA@d>rg z?aA9Zt;sl)N5{gQ+B*Eeeh5TL^C}3Vu~fc?MYuzh6$BdE)~No72AW3(3yhIQR^y1^ zz#1HhK=b-k-HGWiN!*SMvu%XxfmS3m%U@Wz zk#0=*Il_7s7hM*?KCU6$21*jp?v!YZ!ZzaWfr!0@6p9Un zP;o~}X)J|_AxjxQHi}?e*WgROdvRPDlJj{C`h0)(HQmeoakbFYrNSy~Wi(Dp`gQ8)<fxGYl!<&rcVZ7MMKp9`E7FW7D;A?Qk_gf z>CR1*Dmm!MvwZ@(!#mwF`gf&}jP{YluCpCj^XSJ4Q0Mm)W>^DF)YOU9UB*!Ua{d6A zlR*+D)!i7jGMLZ8$ht#uOTl9l90dCc>!CI&v3L^qL6VdU+hOMIW~4?swJoCoK0P(U zH=kI}%6mH2J3wzCxb1{h@Bv^trVU9{h}EkzdK(m;VR5#Q~$64vx$xt6?9mDNNiagyH zoP^zs9>&z{zNhhvlVO~X(*fMKa2w;t1$7R#E(_E_OrqYu;T*L%)hcwV&Ba3()!C-J zl$*cka;UXU`l(y+(1eo0m#s^^-uu&gPU)ruFNF7pa?P2ht&7N8zSRgysbRNZlyb`? zq){0qWlpB8N;R}uzz?<|n1i|{9T1F}V8Hzgw});p3krK_a-zb2h>>3ZkeEBSRf-s< zQO#evX!qc?E0!!CyzZstD^^^+>MvHk^M)JF*|2MH^4h^4EnBqmz{*?Q?kASbTQInJ zaQWaxFAYvs2N$i}oZ0!=&+L8nt$+JZJKO*BhqiC~$Umz^tDfDm{(&zZ^d3C4a`V?Z zcV0Gk?zMB$Pj2Y;%r=3&0Q1-rFqSbJnVyq5u%XZ6J^v11J9o!4(^R?|n;G4PLu> z`8j=)+b`L*WYfyQ?pwWWU#HU+Y`gHfmDk?z((?cRA6B-{ZO>%>vbt|_%b_nEZ2#zI zw_kVP$n^{MJ-xE;Z+E`bzVCs}o9})8`fc%Bw{KjQ*w{zjwtjlNubW1&PvbgEV}Hht zOmD>EqrOw9Z=*WU-wip?7Y~rv$NM*KBf1>;;%y$%k-u(@QM)kSyM$qG@w6WXvA1`9 zYy5*(gRkzb=JQm|VpDmjY>dW&^mJNN*Sz$ZV^!`+G*!l4cozEyok<0(WlQ(d^K$Qg z>MxhaVq?{Qx_7LLes(y>*$7{o2C6>^m>U6__y|7HOBn=s)d5tjU5|t zB@y*o37T@Y*#HwUar&e9M!ZtK~yo*rDltU#R{>!6rL)V37l$ZIEpe zbY5v|lO1P{k-!TRw#f^y3FLyls|uUI&gi>flm06AT@$uFaXAfmEgHbA*MjrUQ_{>+ zQ{=H?g(&Zo08eC(4tO$V;f=yaKT3UZ@!Xd1K;^YSw2m=azu3k3D!fD(Hyz(ZkN^f< z!(1rSZxH5U>KHD(us`UWsBLwLmhp!MsZvibOCn>=bVGtK*J`1Lf*ho+b2t0VC#>046*GbwgR8cs7_Y#*_3#kT3{0-u*?T} z#bQgLkk41E&9b>UiQcFyv?-@I;0@70g+~E~c{ik?da8O}Y#wYv)q~aQgH`Oy*iRbC z)zcn4fG^`j?SxmJp40pk=2s1yKs(0?-hJP3D!5T>LjxzU2E?p$Z+U4JBOnbBo~+bh zdc4MbwTR7RUQMczKGPbW#(jMP>=iBxC+ex>Hbc%e3fS)-kZJ(X@$ z#5+lF8$W~egB@pPUvjP6k+V{w1V@J{;d&}OehDP?>t0|L70LP8)$Sw&_Wj`>xgUj7 z2)x>C2nP`b_ieiXk+;x{K#&73x>V;{FjDww9ppv{8A1l$ZV9bHAqh^U(s$W3D94sy zO9MR%?9n401VLNYZ2Pm*%1H+E|AQWYlI>MTp&>(Lbj>KB*asg7y3=s123EA*u*&fh zT2LpF1@;&UEu-NCiNtBZvH|#ZX&1+*c+=v6gX&bOlL5s5cVK|47%PsFI-2^qFoj=) z5e>yT#?}o1#h<(Y&CP$WMhIQk+DQTKaXpmm(P$jO+d=eA>!I%fD5{5a#;}#G5?`Nkp}-6bli?Oq z;+o7HPGXRF&#qM|!+c1QW4N{(E0KqwM4ECM^}JZ$B)Ib$EUe>-uTag=9x`Q{O(6+v@Mi#v9s`u+NAmX2Q*MrbW$E9O7z}?F@2x4o zLCn5p;)sRVRAk!wCj~B2YEmGlrAAq1VKs}MMFxhR#XMQbIX|skIX4SkqoRtdrg1nL zYHy|$^bDct)|!#fAYPLO5`Rx>nxO5jB0B7QMnNkRF+)66v1g~u5)8?iHVl|*hNziA zorHvrt~v4l@UUS568^zO`%yb=vY;>=TxmO{Wz-AnC@UgOkI4qYkN_aF#kLH@(gsX$ zc>^x~=pB>na2!d248cT^V`0~XBCjfWtP)NrKG#}yGDY|tcSrqDzGjM~CMOz3e8cXK z@G$+DHb@+Tw?nUEFcC|}X%t_mb%?)!YFhxJ7A$u0{b#!#2qnMzP{Ym_5kP(#%%r9z z)LRr4JE*w9g`IXaD5BLGiov%ubIKEvZpM1x1;)V9OTnY7h>zlR@LkGqo;u*QG6Yj) zG-r26IjQ1k0zMKx4SBLPQP#A^UsKTU6$N4uc5SgRrT2hVeALil#zv{v=HRo_){LcLg;z zAmC2t)KNTvYcX7-d1>5SSV+J_*oc_$?olNq34=v*L!CpUAgBb;GN{WHZ6b{=8d?!I zXR)pakPOgiPDDl$10=}Y2(n~w5C|e~8KDJf8Hl2-al#asFpAS*Kp7SXMu0tMgQ+oa z7WD;I1q;5y7p>V!7%(uA;tt74EgD{9{%d?>lj1bD$wlyu) ziq~_XYPE0P9)lJmMhjG`81izim%zjLis^+uxvCEhed7s3i4pU4lQ8(szmPdHDdDGw zANEBL4QEPTP?pha{Uk;qa!p#nzus^i#`o$e4J;^>;zDi7m|JVa70WO~ku>nsN6doa zuto)unLHM#D=Erks)&ht{EBx9Y}`UD!n{v)_iWK~=e}QcX&>`jmmHu(Bcn@@WeVI1HK z_DK!1n*4NgoKp*u7Ll*YL_O$OhLF&cQOMGk*S^BdqgCJnWQ4JYZaZ+Dq`ekFbn$( zwaW~4OT-tve7BIpu1tKttuKGf1gq#qJf$g-Py=`rzz6U=$X2B6@$!9?SOX5&7sT4z zQbM8-WLDr6Li2@21jVdy`xWLwA8P+$=-)jgrBC0US@-qluN=AEWHvnf{EtTNej>Bs$=k=giH9>A?s)#P;=~;pD&9Ue z^89z)?|kR>OTFix%xw71?aH0__*onOvi)VUzw)`;iTV@7cX)A{rY& z2KSX=JApy0O={`KvRu9JpZCPJ4eq)=qJ>@CCnwMU_BH42-rRo1X8-IB`Aa@~`sM}8 z`sm3_X~hC}{rYX`Qn$!Fv1Z$2rf*FqH$VHMk!^ivt$EkLmEN}XO$#p0|7fJJ;H(2{ zQXlXx-=Nm4$zyMzc*n+#?JF0ZF6ZhE-7di)e>P>6MWkCSNy|Qa9@Z|@YjjQxU2@e9t|}8 z!wXes{6l!&)zT8=yW|=CB#& z{p|Uwc>6D!eERZ4aF=(*6)vL(J1=Kyc?6l^c}a2wzPD?OSmhAL=fWO+=AW5b+IT z*vMcOfXR~2e9V_DX1hqE`}RW!i+I56Pd-zGeVwEH?B%lv!q%kEF6GV68;if-ZTb1c_j!gU$Ep)!JX0GR82$X`F}yr!e)*z{=Fs=4xw*de=A#A#vB)O#=TE0`R^jY?CbwRxpHEb)g)Nf@i55%et!xw4 zPj%hiu~n5W?30F427=UQwMhZKx**2Nocb(ienW}5O=ST5{!agXbGjbN+v=9%(IBj7o zcTlg6gPF8L3x$2Q7`zw5>>=X2o<=FtXfE{Epl$ZL3>N=?M0tB%)O`J%*<=O}i?CC+iFust85e#w!@)ErNE1>sgNygaBbun9*1I&_soG!n7=BLmzTPDzBh zZ2^I3#L;-c@=BmzDe)vqOZ)=54KV3FWwSXqVRyODu zwTnunk*JUtBKTv%aL9JH-^xWA>OXq867E6KWpYx911k)iVFK^Ha9+kfxq*nXxfS^Q zBN=cIv)P$dmoV=o2TpakS~wCR1mrbqKwe3jCuDYCZVCE*Q>UBken@7S{>w>}-C-#{{WK^=EHI$%bIA{J$72?8tcg3igIR_0mq$wQ-9b+w#j|WR|*&07CsGh;_#m&EPKtoG+>n!p22Bcpm`lx%efWxXn zjfbMMlvqpjC|7Hv@KQum5t@EXAsaGkGH-&=F!V-4!dNGJD9~_&lw{!SrdXrk&(Fv4@Uch6d87d3eGDIX;bE|G18O*LwUw86h%2Si-PCJXhI%>}YZM!Y z`CPxJ!{^bw1B5?JNuNi5bX*GKo1I(-wSr3u9j7 zZO<9iW2#*RA}4YfUX$W$FdWEtvM(cH9xFV2BtW2skCm{35p~s++@eCNhk=~-z?W1} z8Gl6gct(r}gGE5dYW9dGvF8r^-u=cR(HDf{p?zS`F+(^;d8Ax2B5fe9$U{Mf4+g4) zf<)1{Wzxbe8a^8wzN`>qj0Kh>D1Fp$3g^Zjcm{MGgSOY!{*uQ@z4zZ5N3Gs%k6xjjZa$l-SQ^n=b65OYd~Dbj!k8EcPG@LakmcRITJINo?bH0B@OtL?NolrcePRy^8mlo zEe)R~_3)jPMr-Ib2;4YuiIi6O7)u6&y&{Q~#IO|x2rNv+l1N$dK=V^APRA*L&m)E` zE3m;YDa`&#czDS)lIj$eoJ)Q)dIzaf2H`M*3hJgAC4i|7xL{itg{=VokVPyJK!9~v zdvPOEOW_PM5W>8TJP88!uQ54_{KvxFX+P6)I^A+A-vXszqhB zy7g!%ylhq=^ve7a5Zx8>??SBwsX!XT|3?FS+6CI5t^cD*9-YUHh#|rORfl0qcSc^A z@y~M4Y4_BRr5hg=sb?>B^;~5}D|9#dnUVPtT5zs1Ws@Sk?7Si#KHl#v`^d-NGLn*= z#{Z0?2E-!TX*~HJ*s54mw_mjGY)P(ndhX)0#l^T?$;U{nSH2v+I3q5;8*K%KDM-T) z*=Rj06{cDTq(B!^r{L>Vz^r_xQDGDsPT_W}>x#n%jUOa1&H3sR;(H5)zb;^ss_}H* z-;@<@6Jmb1((Wnh?IM}bG~oT6t%HN@BV*OgfA`@#KRWqrb<^SduKM)8 zAOHPd{f`g5^qJjrtA{54{NRmuf9k=%KD_(jhX*(R{qukO;5R>8-FNO+Cckw3XYZZ7 z^eYeSe(4{cy}kOvOM}%rRz2|SQ(yegAGz?H_QBm}7k4a=%WZQqPi)-2s{6@}XN_$9 z0eSgE=D_;=i0RvyIk2&B?ycR4%oF|Eqivus?morqLwcX~yuLY^1N~XgL;eH(ScBO& z=L@F4n=HSt?krKepfi?UzkPCN>pR?Q+gCpD%PsHN@=r_pCNF*G_T5`c|1xoX_3hvJ ze?R)dvX!6}m2NxO)Br4{Tia#52#IGSa;< zGt}QbGSWRK<80{mMmB6jpVmzy&^`6{onnwqOe@BHosghKEghv`q71*xJk(-_nn)i-83FQMAfbjw7e%4$3??e9&79J@W_ToY8;-~{hPq+ z?|M6WmTDJ(rCZ+9#h%>o#^>J|XG~B&Z~anHI_~%4Nxt_EoL!mGfMaN2@dCI7KVrS9 z)u)!RP2zjcT?IW?lh3lYTUuT|RIN5Y*Zf?|Bhzd$Zf!zcZ?C>k*!uz4gsS?%z$Vpd zU=ujp&$7u9_StUOF>ivmc7hL00Fud7VH=t{dJv1@ zyVDJr(tzhYqpBYts~)0`!tW1ZiW&4>p-q-w(T?>&*+S5F&9sU4LUj!71N~Z8*A?7n zp?CX0S79$ztW7?7#u>A1Vx^}JU5hnrQd5PEB&PKxp$`f1H$L!mmwiXDPVqHkE>E2r z-hko`!a~z=#$oYwg_?g_yPO#7u|k)|3~tjZDZXK|4_adUsHB1$yw{8QIRNKFF~*t>SJ|#1AbRD;5${=OpDzdb z1HJ>kWz+G-!BOfe45~4;;&sFfC|SzP;PIQS@a6^X!wg|=#46KC10wq2rD90gNbq7& zlMX4A!G)OK8a*pwX3?kyM{w$nVYR#Xmhif8Pa^XimmIKTC^&$Wb&~@zV98?z_O%Bm zY7XEQ&&Yxbu|?X3xMP8*l8r`41=RG=p5Jtao=((bJXGS62kgZeQZp_RPE|FIHIj~$ z9%^bz=e2x6N|>N)?4w5_lOH|xq~%&Ii*MNL*Bs()BkK9A>IsV|P7Gm2ssb~5c*1SV zN-~pw5gf&OK@z_K4x1&ntl6MuGwO|=>i?&Y1yG82zrnR~qfoF1;%oy`hCFCi6ke4> zc>{=f3J$6^yN~y}27e5S1FK%;rr|lkVEs>(jiN}QL=Y#=lCf+A!6|7GmP0C71Z0pP zY#OW$4{tUc9~I@Gd>dClbqboV%RPgf;Q9(0qd*>hr3E;(!6DA^bq7RvIhMoTKj2v- z!B8C-+TmjD3?T@scmjsO6uQTjU=fKynE>PigooA~vp!`r_&5y< zNR9x}AWxVx;@1IId<~K(!HZE(L0RPzK2B$-72ba#%oJ_!OQ-JA+q=@mCP`_Oq#bE;2vL?1bUBQM6d1Iq zaZE+FB^odwQ-p`4(Lxi>ZB&;DF&u1xK}eKG6bG`PLL5-_5cc5WD3uE@uveRuR!}b3 z+>1C8%E$hunxH^9F^XXgUAoj-&X^J^zN_FjM6%T_#ml`|QsrWGBD7*C12;(>=XhSz z5Ozp`VTguvo!DZCy@CgLhX{wX<{k}Vw?V>p%n&lT#A_%SZo6E8P(H`|Z#p)TRIU><4&6|d4n{Gk^jG%O&01j`)ubE&G9@-7uy%bbS zB`aWH4~`he2>T#_9I%KLkJf>J{p~r9A0)5_0+C@ZkL{-kx(9>7@s|b>*of_foCX16 z8X^Axk#Inr;GwbSAB2d`d$U5Aa>z7G0p$STzzY!S<`g!J)iR~;xyDrT?*-TPSXgG_ zmLIyT)3`_WE1}G-S6@8II z2~>OJM2pM8nhEN}2`JtPj{%$oY$+QfQw(@)KYMyiG8h}4kO5y?0LEUY0byscOFqX{ zE?My(9Yx(OU|DA;^~MWCLs?Q-W~FJsky1X12gm3~+!!)StZ&qJX+=uWoDVc>7P?7F zv=F2j_!3L<2QX$k=(~azvpR`kAZ27lYs#Z+fUn`T&8Kpzvl^r(or*IFCp`Gc1b46Y zRAB+zzBp3>M;Kyy>T;M}AE0ZOA-AIEB35tCkl14oxaqQR%bqD3y0L_@8Wp>U8a-^t z-IG^@g$AOWOuWheVH=GZ_hTSQ&cbzJVf(qC}-V=>NbkDae4n(_m2} zHiYyvT1L^NalA6}3&e09LVmTk(zF^=;#kT7oBD1ftTE;oVPa4~8HKohv!&vg`cdnf z$r+C08wz>7&R`2@5!ZR-caSTtA!e*7g1Q35S7W%cE&3qhXaQL3Arrm0FO*HAqwd|* zrxHpQA>k%Q%1FP=?Uk1mA$R9eFNfOSTPo7c9^EB0iu4Pyx=USn&ry~V?g zCJ9bQdw}v$AlGn={03Z(8XyB9wABQfBY^peBN%-QW5}3cW9JgKB+KJKq50N`6F!3L zcBR!o!gcew9Gz@#Q!eTzx)($Kw%(qiF-q$LK7FI$d$ArP9`&2$g*;*v&Zm@=kDT{AC*MQ&K=HV<7M36X8Zk?_$DERd&IPQ2XK znt8L(IARXNj}o88TmZ|m61U(G!q2*Q5_R&rd6*fEN0Pvr#!Ae04cmf?sxy#WYf*cf zZeI^Pw+B%$Vy==gCI1vw^8F3|A5ua(oI)g;n!QYGljAqQ;{xbeY)JWDgLUI2G)I=Ghhd)zyHrp)sg}7A^rp=W%@mr2y38C)~--VG^(P+mCbVcrWur~PZhUBBp zT^PA#YN*FhlN|lhXvJ-68zMQxCWWBENDh#u1C~K^G$?{=#qd(#HcT8)7JWqd4|!$q zOHPIJeXm3n$Kb;*hAN_rC9RmMDM%{A@^uENcOf|cz2G=_f|c&+A?eC8_PxFqs>4z` zgv#y2FxOMVCLz`f>DX_1U~B*-q&ZNtvWOWjhFE9txFWpE?nSKPBntuRt& zn`uC1(JEXyfHImt;$jQ>CM-#0oNA+zrv~f-YtR^C2s1c^lR?FhN|X>w;}lS^QKZnR z$r7jGfdS@VkgiJ@##J?62~}WCyV4-g=X3Q$jWt=uTpgJq!yhF0W*q-`pruJR+glt? z2Ma0aa*z~(VoE}TM+d3Npb!fXk`T5BXNJvW`_>?Rda(f~5DDY;g9Km7+^<6wItz6w zC`d`x%QA9o8VQFKx^obj;Ifrf8>U;zSoz?lL8D>^=~Lk_6b=Z=nPP|Pp3X18if<%4cNGDtV;zZD4^x?JxzQ*`0Z{!# z?Sad;tQ_gX+PG~S$@D94?)Hu8;`-%thr9bT#f>Y^nOwf*hU(@odn4y2&N3VG-eI_n;5t0TMwfNyZ zgM+*N==#AIS1#YYV)2$0Z&`Bafp;z${G0#MzWagCU%dIIh4R0DXY<<6zvr6|Jl&T% zZOhV?PYq5E?jAgJ`2W~l-M;bKFa6^^|MK*?J9b`oc=M*gvA16L7uz?Va|6;%^?MJN zC%4F_-=7cHj`n%O+c$Vm#5d5~zKb(YBrdt($)`8$dTR^TCssC|RoKwoK6>@~vtt|b zbB8D6%la?40%eeI7m8@6yFvvBq>`#%w&-^Yq5dL7_Ta_(9#m_50p=XZ=seJ z(shmIei;uEtxe?FWBs(Ozkhf2KUVj~DaWhg`VaBN&wtQ^O>5Ny~2hwM^Nht1Gfe zV0+jrc0|s4W@Ma>z$U3xun8|stQM+!_s+qJUaV;3MT%8cvq4)Xapzng@I89Vz@#h|=ettl3w7x5B2e$9p81+*%(EDsa2A+pIu7tNi7O(tfiH|RH71Kxt5owV;H&EMoW5+a*;Tkx?CzGZ2^an^u8 z=Z+N_ntW*|o>#q!O+5L$oqrwYGint7?)w@#_}$Wg z4ZuD>595k-7{l|f2uu*s5q(tr%Be@qa&j^SEy1042-;%Yf&@^Tfx#xYL5V;|k=KQf zd`j}GmGim|dZG%?`IA{^UaS8}GnJyEXe}~z_dmm8k=(1}X=Z+P;gd?j#Qsa|dNpGF zi$F(_qvGH>4ACgnTGmnJ8qC+Nfz%hdVHgBuVenWI9@Z+2Cn<*Cr1MiTg%E3ml&L); z3&h!}Vmff{0JyLyY7AlurPUztjA2q)hYM3ni6Y8II1CChmSF?%P6BX0E^(E3K~4^S zwnO1SV|4@mnC<5&vj!GQw_g0vf&n9rsL{4TEUz*gSSyB!zTnnR%RkDoxh;3<~DW?~(P7xkq& zjYsqYf`tICamqI-LUo7p<7E)XGh^)x(3OZGJF=<)6zw|#s}w11xhx%mu~-KT9i)O4 zjZExOL|mvfj41;%JsojV(=-t9PVlgH+0k|2>WAQGiU;bC1uR6NQeHb{0i*?kP?14h zw;WF8NH!=CbygtS^Y*n_=kOJSzTU-nHlw2-009PTd0~1V{l9O#!nPP?VCpOB=I(^5n`hyAu z-=Aor9_XTnI{3Q-{#NKVNu5gTb=v<^n8Yz}W@GqseMk2+aVjY6d73A>j4iSN!?{zy z=8inB4^UD;mj82(X0KMOW$-l80i2h&mxDVa49Wz9vi~-Uz;^~T$2s7g9co}R2SwC) zCvGITdZ(c5C4h1q1ny%&E8r0xDH!S7Fbj6!2>cCru%|P|b$vTr=s<04l*PAhu_B`F zbk{Y6VF$$xWI8z$AHfE|XHgl+I*n)sKieJ@=FiF!q%x#V4-BHt!-N1?k)m)4dVnPi z3>g|saj~Icfdk62>NQxhvqz&kqWWnx5uMb@9_PeS#V;Tu;5{6d&o%%d%*KtYKhxbZqzjKsy-AD3!{92_D7 zjkZ{SVC*Fs#V9!;Q1>#ZpvzQH$x($}3$}L*D!x5}EjLWIDcsTm2oA&XT9z=Sff^cUXrQ5i zh6WlMXlS6JfrbVe8fa*sp@D`58X9P5prL_=1{xY@XyA8N1F=p@=ox3Xur+uI7-2l{ zvoA34?HY?0hP3N(KD^AbxgBc4ce*(0MmXe0>9gf){8y3Vud?AdAM-l+XwD~urI}Z+ z(EbqN>Fsn_YVd#1br6%*zRyGu|gq5gms1ietGsAO+Q^OZ)*V|=7? zG>c4EO2Dw(BLYr~w0%V4Q5n&}kD56Butyg-QMu_OPkiB&U;UAZM{-oiVyjxvWEd;_ z?6<#|2tWl0s-B^3*jm?8W<>%r43))rg&)!Zim2KN%t$@4h#CE_V**WrDc13I;N!GG z>p|Qou%&4td{NcFQL++h1#y0z>t?J{dGKKONOr9Y0Ifm=G0h0ye>9<=km0cg(57LY zUiLo1!It4j%tYK`D7j>%TEIxFctBeWcFSbpxoA=C~)coN*Wg;#cF#w4qnDX zPtRyV43`@KzF8hb@Bw;&!>VV82d?eTJW@6ic=`4qxrfz^)E-@%qH2f$P^|qVA(z3~ z!2!aMZ4gCFG7HF29O4(ZCjmc5B-#u-eocoIKA7sL>6{Zau7eY|RZxW$)*|h2Qt}Kk zM2f^vB0t=L?+rN;pY}@nB8ktK6>oD@j)mnY^i#^a!^kq^Qxc!Q%O#Nu+FJ^iLBuNX zHaMnwa0M%BBNVqXb|x~0KZLJPcrV0YLaj9-XoW=y33wJKbA|?j27n|;AuOm~2?so* zHN1)p+=Njotd_t}<7W?!Qch?n%s%@Z>K!J#3v%#$gGSwAs>$y})pmtBoi#if4bu3= zG7F$0qvji>p)$}JgLJ4$X?We`GDRr62b-2aV^B0i6lYQJ&1Ox4<$ItnBk0n{HYkuV z&~Fh2F%mlx`LGEIlgBq!S>wVVfIZkI8j_xy!y)mry|7A9#J_JZ1CF*Hob70Q){VtlyY#F+_@)>jH=CTx|xOyZrhR1IG3_^xg`P6 zX}a7=H-iuZhV>>i2?d-$GPXN`;uSV!fEBG5m_Rm(iXak>Z8fMJ+zWAEgm1S} zC)>~n;x=V@QeY$#5?_9VVT(mUdkhQ-W(YdEZNd>wSO}g)iE?lOT5HnSdJH!CX-}N1 zpd+0)IuR*{WOB`XO>q8=YYCskQS>z_7dz9CEaL0J`P6E*ha>&V&<}P zJnA-dhOb2@$WU&Wc&C|R^h*Q*z?)%Jw3-wf8gh>2ts2mOYJ8WQXC3JKB+5WJjD1Ss zOZQ+(=7hH36AnN}krNV|;H+_JXe9=<&dz(evneWrui5>vDLQnIL3J)+s%x$!w}`F= zUCR%J!DQDJO5AB;<`nOXX^bIzXk_Fb5+epPS`7zoW72{(W{lN)nMAsTfP`tiGEJ)N z6AF$g?ka`hcEard!o$MDY#MbxVKU?iQx;{ngcR(EVjxjOnkr*g6(vTC3b|AoJ)J!S z&W(Z=X=5jJprOm(nmRCk%M!`e&1h&C zAY7qYOiDw2ZULeoHFBbtOzHW$SIX6X1#Dw9y$`dBZ;6kJCiHyNt=Ym&SptPJenK7(_a96`YHYnyTGb0CAlX9EpN_> zlAql}Utx6#LvG96*x5w58WY(zDKg$bFFGTYZ_T4?Q(DTj?!kxL!LG#U>U$an}4Q&Jj6@i3+>>mvbd%f#c&cnBfEF&W2^OG1Y< zf;c829Kr@i@q_`q8Jx_{WHti_6qYl~a=gJIPRJyK&1^DzX0r*=01m`))ce2n-s^6) zy7ett-Tqyv->tfJ>(;H`t;4A<(7DbLmDe?e|dD zJHPvb`F^2`QhLRqFx$Sqy}bQhm&A%OmR}C|!z-#zaYL&mxyU@-D^nxmT=?bg=kS21p@^;qn4#+GauF0a`i=aLTvcBW}PNjf3Bjd;)**|FwIf+}&9<-rlhHfg<)DKiD|b*wWgcI!k^% za0k-Jx@eYGYPrg;8_MxiX9^btBs+SH=w5p$*2UBLOxOK zhg?q8>&f4o6us`q8S7LxktLAKv)!m&KFoo7>a%Bl(~-vc^Hvi<@ch z<>mTV$S;fc(7xpDZ!Zu0yX)^+o?@(Gc>Cb^zO@gm8*Seni}SwDmRJ+oTL%Z1?uZx9 zmhs)mjT@?T%Vp{M8%Nu5#bSYD*-E3`ZzR%!58DSial+9W-(KF8UY|NUE^WoVw#9h-7JuFUsA`<(;dx6>+K(hr{F76lH2P+i!o#hT&!$B#*ym)h&ZpeQ#c+ z^0sT?hkLJByQg*a&h2~dz5BX1-~0GG_w0G)XLkPBWVFe$5A50VjYrr2#J7%I^o<+t z-t&WR`!B1XJo4E+-~Rvp<-4AI+pTZ=@PGZ!zj@ukC;sGPZ~WeCJCEFQ{cmjimmhra zGmo$Q%;6v3^O75(*yl&S^-h9{FuDkB~m9PAvn?C!hJ=gE~z90IB zgPqQS_||N}Z@cykJ$Pi>)jPNCIdbpIZW;XBTlZXdi0F z+k4*o;Lcskw(s2Cxc}OlHdH(Ito^{A9pBf!`R;W8mAgj2yleN$NFV+3_Irn~`=k3l z_(yl`dHa!9zG>%?``*&}`D=GIAKQNKtDd-asQD-Fn0(1+uG{rpk8S_cR~@hG)W z864jj>q%@x7kGH>YnQCcv(RYf&uhQ;pWV4J?YL>^a2zT6yPQp4`~}Tx0Uc zvZ2QA@|qnxCMP#->ZDDRZ+OG1qIxR7ec{nZ+wa_wHtjfk_~+x*8$+AQePx<>@5Fm| z?V8Ai#$#?bz4P$l!NFa-4oA6%zwvVutCn;J%>HaX)J^HX4`nFbaYx$tf){ks%U?bo zgIf9eraE+=uDc`us1Q%uU3u zn;agBef+-~N!zL~J((sSNWb>`lYbR&Q&`d|#&13HSNS~{nX~>RcS>I_-gn7Fg#KGf9{yC?F_s1=cTKK}Yhj-L#?O5<=b9@V-6jJALz8#h zv1HTW;AMl+CWlgb-$%M*t?E4daDKPLberV2vvk{Ju5uSv+An@&;FofZH*Fdn9Upw_ zujKV)(@ps-@3J)f!Lsw@mnKs+P^8H(4Goo-k90Qw%wK&qzn^3>+N62SZ+4z~DnHXc zzt1GcugTvfrz(z;>o&=$WlE2HcIeizM_)C$WHP_=WUx8AP2v%HMfae$-zG6$gn?N1 za+{=w|Kr1lCvQEJ(kDNg(}xCgn>;$XeS6&|8+Yzpdq=d%&bm$Nn&eyyH{7u5m9NOP zf9cEOb*1U8zjEipxlI~nh+G>-U1NXw%#+2h4Sq4z>q)UAwiCHMavQ$k4f&xTy*7F0 zj>%0iqXr#_|*c_KYdQ0mZ+d%aUpoqlLmzJCA7#?i*hQ;}bX z6YmmEDSvab7($+v9AMBIJP%HC*$cpuAq_ikMC?+y*8 z&fbmvJ1lZZ3pMiu-i*G&faJ--Kg^hO&{=sY0 zH{v*JGUolrw`b2|e(Om}xAwN+?>y#ROSAKzt^U0AoGYBI@}KQ;Uh$FN{LoMRyJgR7 zUGn6k(I!tm{P4&dH^mWUgu7N;JbuNFG}3F6zx(^yb=4-bMb1wDmQ-zdf%jLZ#+21dXl%|v7W?na}IO8N3J`h_t|afqmoXq zXRdW5r=4DD7v5O6kNr8zP8_BJ_oDd`_O?YQdLVMnJ#&Q4C5x{t9Q+~3PK((^Cd+8w^S`;F~M-?uocobH$K zQEptOln)fTHJpyS+2h;E9P;mKi!Qvbo}>85`@2sxYgbm?qq3QC9w+zbaAkEz?RRCh zyB8O|^g5c6??nCm@pji4r_1k-*DCYDT$+eDLi2t3bCHF5F^p?@9_=lVdG_LGt*N<; zOBkZNS@*YVjozT57f(fp>aOWArv~J)if*apbYj1&>UW$(Tt!ilzh9e~W3*=WEZFXH zy5`Mv`6J!)iAbx@E2f6Ex?8OL{Y!*;>ohg&n2zHQF6!@Irbde1Xsf#1x-<9A6Y6sN z_pi*8XAvd$THvw==AEY^hIE(AqfB%1$%o?Pv(A@uajXgR&0ROWoEjWI4_@*w()2v{ zTVN_fbaaOnGm}oD`=~8h+>~-`sCUxGU%#eDDx$OO_s&&Xf7rr{`%*LJs`H!O**F;M zL~OG|s)+yf`VlQw$3dExkWCo*{ea+G|$QAe%@Sozuert;6hx~85iPi zPWw_(FMUNC-xBwqd@d4F`R+LGFZNZ@KF#L7NM1S;^IE@rc_3ARQ-`>ewTk~`vsuOW z_xU!44{ceM#y2;|#$##UT}AVyW>H2lW!YGo%2-dzxW=N4^s-E;OwCjlkt;4q$rr7Z zMRcVt&G^h+agD_0=GGVzL!*XUV^|qujWttB4@5@}WpiJz4SH4TCNJ#IRxD{n%tD^C zJhN%dkLEaf<7R`nm~n3;Eseh_@UxZP5;vAwRV(arDfybjGQLWWlJmuS zQS6!+HD44QNzLxK?HJgqqTO0~U2GM3U1`;W@<$nM7R?kQ?LDX4)6myvP2iQ?=SlqCGaIk$PAjns-dm#*MfN zC|_q8ag3CcBDC^UBTH_*vE0UWc%T=@JB*^x+_NMVku8TR##u}uFXTOqX4wkXH1yfL zj~H*Xmd7j)=e8_Klx^Tg}UJzV-E5Q|E8T@K|f|*C)-vwB_9^qwM@MRX#tBw76d~ z%523?Gw)8za({}Ib}*VP!t%J}d8Eo+i!AKVOMmK~vE}VTYP=&2UmKm9qb12Je>tSk9gpw1U{d2PH0B9fx5V;QN}qJiykbwE^Zb0kMJ zoa>nToZ++SKheu-DHWEp3L)X4oQDTet(7t$eU|wS;QeK85WnZ=LKz+S@RUG(S z+&WpsU$O7tL>Y&}aarR;j2%}fua5jnqV%Xv73q;TJ|k}KYu5eExTmWb{ZY>Yc|Cb3 zS2C|Rb+vQV^WUNf^^6p;%dA5?U*oy-)oVg-o;Q)|_`E^jqn6yvm(w(@$B@~%5R zqDf*uljB_K(s~6Nh?}+I$e=UO%u`sJE{eee>(jb{_3hy(uRaXVxnmvM7-K(F9gLQ# za_cOTP{a&JsERz71G`%XBU*cRe5COMksPck?OT!#?0Z+aqIzCldx{NzUbTK9Fk*1C z6$@uR%Bphj1MQJ&N$eJi#=h#x{UfP(K_q@Ya$FhPn&!xXyhpE>=2q1^VCya0`y$?7 z+8y_vWMp9^&W zGm^1rjpph&{)td@_CBzAJZ)QX+5U@GwA0p5KN!iwBTHgU`M2v=M{AYwB#M`{SC^f% zy0t&v^R%(iY42;Sp2#m7tk%a*EzLFUUudr0{|j5%ttu|j{)N@8i>m6f;(@5ozO=gi zz;JUQn(r-j|HSIzfzQPRx}jaQVkL{ZFZ5958Hgpl8eg5te3%oiC2~tG1pbx;u&YnFa42b^O}SCTqfStbXBz8-exrEn$)VA z>l({n+gKAMu@j1gdUv{XUEaRGEFb%(rPY>JtGql49$nR{I!oK}j?>n!g=xff+or1b zcCI4MUE}MMR8ZfsrbJf9a^r8K%BHP#ogr>R!Q7OF_?{hh0d zz3DIC7md#{3Ms&S2H%wYmd^|>Z{))1_IW}*N!D=EO*si#$ zYX5Rtm)19%D>ioq^G+g9zul>dc#KgR>{RVI@LeAV7qK5NTAhJb+Pyc@^KIJ^NB-tj z#fmf<&j@Xo!;J%RSdl}Fnm@`MEw&Kqd0~uj@wSxDt#hcVH~@F^?_VcgjQ9> zUrHZ{zxZEP-Sd_d2O;s7Ly?;Gy-D4^MfcgP=cw`h0e5*S;`nB8un3ko(MYQ=iycoZ z0J@>hIa)JCLN7J;M-f)XUo(>8oFl$kA0DqFu0#I5tIB2Np^;~QcXIWx86QPVFAs0j zY58+HUr~RbmWSu`A`Qmjb>qge&N(w*FJYlY!!bWGv#nSri|rYHMKRcJ=h+*&ITfu9 zt50mh+ z_b0}|@#^)R&5g$%XufCZ;Ij0t#?~}=C|cykEr$=hZv3*Z94uQ0e_&hlCt}}zVDq+6 zEu*a>9@v`hAKTV^V|sIE|CYD6-rPPEH|5{d9&eUuu-q1>NSn*DOwI9BrtM#BrF*LF z@ny_d|qf6r?ajd;!-I}+j;X7{| z-kV;!qILPQ(KR<*Umn`Fu{m0#EoF9@iXsgki1lG> zTCp_RFCN1YXP?!ztvLM{-VqCSt5{y<1$_LsS8Q)JuZhl9v`55gAWnCFyNLcZt!gC7 zYh2uT`!#XC-fE=BuZi?nYq$UUU}rQomp@coRuoH8)IL>@$F43mX9rp_4+D{~pu_Os zf&3o$rPmBrckN7_*ByDurypGLiYH=;Tl%S6KC^SrE#Lcno9;RC$~}Aj_SJuO&+i<0 z=H_>P=H~nM?D_Lseq!ZSS1HGh5?X_>a`^T33(PNkX>IXM08~V!cA9=|qpINf@t2giY*4OWN(;J_B>aI^7p1g18 zkzIGC13$U5dTijX!K&Dms%1y6d+=>LKm5eI_FT9B&Ubv*llT73^Qxzwyy&qfzO~`N z*S?az{6~}bJn%K!0@v8)@(sHNK}5hO$P7U zIa*%&`s+rnTYFs@o3X}UF1_Z>8+N|%)i1yI$o+fv{Ii>X_4fN-a>ISMUiaxAyMD`` z+OZ*YncF|J=O?dwaz*=1_pZ75 zvrqrd%8y*JXV=GncJH3y2fudnt9RV=mcM&&&&_+j^3a{zk4)aO=k8^94Ia2{*JyQ9 zw9WQiqmS)6vhM!7ZoTf7$0PrdpM1^DKmDyY3`Y6ezjD{^h(~ws`fqO-f94%~w!i7# z58w3{%U-ePecPLLn=FZW*}r5FtS7UVskH;e?nql7i`y&WY^9xcY)pTB_1KCpJ)Iga zdfvXr#+H94{qV#^`@ax(2bHa{ybT|DX7ZW(y3otgi(VA>1jP+tam8%hMHhF2b^oQO z4=?#<j;sz3}GPPKV#dxQaWs$se~iZZ6^;`k~mjSLxAm$Bsj- z&!>lHw8?GDKYuv8bVZ*!IMTgBxIJ}!b+=9OU7+=lp~-NcBV2s(rhM<{qj3jizE3MM z&uWv_!!ezHn=Fd^vgS~jPP*p6>wi720FGT{`?sP^>H&X!^*diP`K5Ie@7y%dYQ6vP z*z)0xUsw@s^1H3Uv4;mAo?1`d(mdSCBdp4%kN(!dt0!Ohn%v)CPnP7RKf=Jv4|ZE? zo@?RbzQ`E9V#SUf569Xu@W^C}J4%mQPacj^8m}E_#R=up3x1bgn;h?BZ)-`kNBThA z_j^NblgS+^wq3bRnvFkB#b2h@NMqGRd@q^)aL49olQg4E)@{9ceO-3^az2^c9jfEF@3GNY7w6i&xSxKCaqOoKrQ)J| zl3&MD<@d`OAC3o0rY*UGdE$#NwbncGZxh{J@X~%-#Em>G{vt$Y_ddqlb0~Up zdX6KSGtT84Z}{x-@}(*>^LMK5__NB16QP)LUcVPbn>>`R+?pkdWk2$UEnCXNW#g>_ zlau*})FprQ#*eOAwQ=Jkk9;a`JL1RH$^Wvhb|J9~J)e@7gbZQAtk!+-zx^|8V1(H30)y5ET3up*Qf@7}b2 zeJh?7@msH*oLpYTem4z6w1I$t3#seSurJNks!^L{v7#eS+_B$tUd}$o_e4x9YTz&OtCpU~7 zjOUO~PCi+5+vMr*Os^;1bCa1x_m|(^<0a19dNR34)|2(a-}6}ZY_C`oo8->nw63}C zos%odd@uH^|Myj^`s>Nz!_8=uSWTAX_2fHGPp>BzPMa*2ZP(1@TQ>m$Cn-=xzI;@f zQasJ?BtkWBo^swHvj;R!K5KW>qw`nY#OJ{0C2u;znJiCr{5(R@`-|wPu5N$-v+Q>~ z$KuO><(L`nu0O{lACqvh`DTq6=jp;+0%auDXT#0CeBZu1_(uRtKY}>Luj3h`*8en-%i?I}wUm$#eD2mFMJIVy@B_ zNm@DIe01jj&8U0LQ1`2^qet(S)7y9Qh%jDF)pz(*@dF!L(zM`8{Z`{@eSF7IY^fzhIAHySm4V6FgWntYA zKb=&$>w#`>o!%dozgdh>PW4Am-04#1={Ia1R$m+#ad)bD(q$A{btgAnxkz8EVb#xe z=d74HgLR9QQz=!zRMA&;Te9Dtbt9F{JS0LtHM+8FmD8p}YpS!~v{MQ5bnKp)-bm%t zk}@-W@pTt5OP9VrK6;C8<|<~G&FG9F-D85>(eLUn@pW3g#K*l`bj7~*EN1dM((~En)qC5Uig&B%65>tyn?yN&D`k3N-!xlr-`d?x$ zVxyjy#zvl@_{iO5{Hyz;d%XLXU%50h)b~T?d__*J+b4eJn#fnhb(ihw%(=gpHZh%= zyNaWGr~8U>x;whc{`g0wE{dMMNV7jTgP-np6*=lImT7nHj*xptX53g%=EUhxFRJ-k z@VHwm+g3f{NI#g$dT7pE^fX6uPqg_+cSyU}-%YJQiy7VBk-8O{sMC7|9+he42#crt zg}*n)yfmiM%G?=y=ov{7wW8n#!M7j9$oTF_Hds>eQ1g zu3y9j85h-D8{i`9y5>DcS9ogaZ5LB}i~8ua-Rxy7>US!~(CDcD!;@mEBY^R$a7FW*UAe?~$K==J4nG3vqi?1!9^{{#XA2oNAZfB*pk1PBly zK!5-N0t7C6fnERn!l`5Td&TB=*YT=m5jAVH{(ZlGgJt}H(`a2>4_%b+Kk3eCqrK|b zYwr38=RGd#DOTOzZ?^0&^Eb&$rtTU3Sn;|lGJNsMI@h9n|4Dbw(%QLxKdFb!^HI%{ zep1P0ovZuVzmB4cwETB$D06!JtX1{~_2XXeB8{49)ywCawHd!H%{BNer4_w$^3>*< zV)ysnquXQ={{}pzy7uR%I;T5Y#dP=Sb~R5t;#}=;;<1VoXIa=eswFQx#Th71G5?un zpVhX-nU=Qz#=rRQx|KZG|B1W3uYN||zBs(8;%4aB&DLA{V$OM~`l(0#T3#m}o6^ra z6?fN@GWzph70(B&r`4|NzW9jrcG_2TFX*eEK$a61eR%$s-Ymvr8q3*pTVFjCxVgRh zZ8kekx4$`e^6b>APUwD44=bWG|8+yzYt2SHp>=&XsZMD$%4ozgQpS35ci`gtTv5LZ zempOrG3U*QQrZ&h$liPC%4M9svdUq@I2_~P#oQRoW6beI+gml zEsY}Gma5%FYD{!mvDU6H${4RccR9}Z3wzK-cg(1NJVi(U!;Tp;e?k!}UOir1M!B`wpK#pBAI;HBTl27b z@cbn#mLZqEZhB4vB0~2w;;QcLf6O7DpN*QK%3hwCS&mwHT>TFrPv}hihF1d>IiTGP=;RW?O1j?AL{Fg&nf7Uzo?)D`17ilJUZ}^tj zXZEDDL!<8{O|D9I2B-$oVxyTc47V+guR3#44 zyT|2K6@!{Zby`I)jFDatt2;hhpik;xSq8>LpLvwx$wl?Q*)e?&=qld&)ZH zR6qJ-Rn4W%*uRhLs~3kTrPJD-+vh+tjSLnWqAOZyQHM+aOP;+d?q|rVu@8w&`IcA| z#|OeT?jpUtx53Vvm05e3JYs}0{)+hP6V{!1&wPrZXp~V_S><~xVvpYWr_HSg<7gwL z&*kzP>5}zJ$KM~1-ur>5VmCzAMY%s&{nq>d<@lfCT^M6!Jgs^4);K9^$7?VmZ7kju z6a#aXK15{Bx$`L9_<>XB&*M*p*ie;uS5l-p0Rn@zne`v#C>yn8-j>IBfZxjuex2~fnC}S}UzG8gFTon1lplEK0q^e4> z(saXAM?c~0#6MZ3N4vd%TlbV>J6B91d!tMc}9Z>&*Kw?!S=UmqT<@=m0= zW$?i6&9NOBY^Dumypef06EvzuelJhFDKYoeAs>Xz2yrMh*OqNYjuUm(ZPJNSqnv}W zcPp+*qr++RrLXM7>sWRd%~q#q4;RbR``?#7(b^kTsSE6Wo~Y~vIef)^`2_*7?P*=p z%6rz%=HcP!y>T?{ZpXW4@}^?2%FQ!l^VDyYc%N?!uIkaxc;>gKD#ebiO3&L~#>-aM zz5DuV!(g8LHLqN;;$`jXfd|{$E^9q7IuZG*GoIQ7UBJp6{r7PE&C2_K;tvK_-?a9+ z$B*3k)AwwMcVpbX?Zs7ow?(`=rLnX>8?FBNNwaQ~=KjIK8ST~2b@Fi$Z?$cWc6Juk zM43KbExr0%-#Re*m0$bhPyfePu6@Nn{K=PIx98KZzV6Mx`H^4!!=`I*P#-7(v@zxc6Un;q3AbsNNCb6!uH z9~f-s_uZU!*dFgLN{#WI_4&!it2A`iT?a-leeoy%?&*7uykyOP|L@mc_vWi!x%T6~ z^Wgvd#D^dG;3s|~|J)W6Tf}WLuw^#pzkmHRSI6GvS)1pf9h3PT9P#FjJ08u?|1OGw zTl15*i*|W1-L^DuE*E*|{Py$={-?V0V~4(S@Z-((XS6+nEdJ2?o#}bJJ)gU!SAXzFR9RK-PWCZ7{+a@odd?c(;_U0nOo#~wcT%U_sIKfhgT?>O>k72B-tdh*%H z)Ere4soydD{!7e?&OH)jE$;8~Tfw*rDD8`|;P}T{Sr=`j_|O9L*Ery(jYw zIkn^>c46^S*`in%>uaa=18MdD)-9l0{#Y7*Pi#>y9ZUIKW-*6VKXdHd&210gSj36sLvzpZp+h-6Uj}xDVM!i;Q@nw0)294czfdJUchtvU z%f9`_!Mxt&EN3{K1v2`IU9Ex7{f}JPD_8vNW!0l=*M91UKmF4D5TZt^e(U=`^zrHy z%jf)BIL7$qH@h?09O=fV`5A9x$z$f$c=XZfHkq8<`RYh+F8!Oy@s$4KCu6_=9BGs0 zzgU0G*0*Gn!yo(3$MSk|`+H+oA8(hBS2!L@tpTaP;Yey>fYhn!Yd zTnM~rWXITao5Z_5q5+N^+4<^C(b_|kPYtE?7oUoxGi&?Ps@$_N(wg`G?eOi*JmulH zZ~4zD+NAlT@!qpWBd!01bXnf5jHFewERJrIcs25whI;zBO}g8zZksfE+pdfL{?>yj zm8**GqrT2`S_@$Op|>r7O4e1ZckFQ=;3u6gk!qP*rP|{)D9yR(f3>monqn4 zZEnsJH|fHepZRKf(boBfoTKzOx<1J;^&4-IQq>fyTg+`U@L&6Ldz`p+oMCeg zDtoEDxG^j44V^bCqTz~Ky-WjjuCh~>X>%L_mz(3Nfw)ehjMLigHg4Wh7VLoM-_fml z>{#oL>8>Mf^xw6Ia}=iEASVs&!Luy}5vDe%7jTN%vH2 zs=PkORKoe|i2X;bM)|KFa%HOe(z0SYDOQR|pIOhvcFr>V)&AU`K|Bkk-#cG?f+dxMo%|##o%u4C4C&v^LyY^O@ zpQtb#gJKV#;=ab&nHE)>4E)>f>=m=;@(lXp4wkyOi0e|?&0c>mKDnafx3Xs17ezD= zHuvp2m>!DN;^0W6<&`DYp>~QpQi@b8zVBPse&pnY&ReclEb@(dYGrzD#IZ_5N|Bn4 zYG1P%-#tDQw>3T#jnxnF^Gmc))$d&d@#XjTXQHS(M*6)oVtX2QbmZaj3M)jw8}&cUcutQ_lOquGvUM2)?_7^phMp%qoW)p2!M z#^CYz>xaA^or~>jzx?wYuYJpTp5O^57xzilFSFabJJyuvr*u`?c(BzPU0>}j%B#jV zZc9}ZQKV%@6%=D$dj2kyk9@^n4xNcsG)Ufq7e)G>h%ern_Qh%L%)7rEalSITeNi>a zsXn7KQ=QW=d|+@m8e+K9ZZ^xfF}yQ)+5f%z_O0#f|FpQi{GKnqxENbstt%UQrz(-p zAyZ>&y_rg1upL+Y_Y1A+yJK%Lp2~dLSP{RJG+*BuyQH<|((z7f-|*0fuPML(;Gxw1 zh4*df*ZVywZZvD;GyBC7u9&#c=H#vegV$^yJ+$+l_Ue1K-9LQky*J!4dBweZe(ILV zZydRG^2p!*@PGUB>Jwl7x%{5uI=rX(@PW}CjhFQM7k)hSKQ6R+$ZfK%7{7GwgM(LY z|BX)biR+Gh`|drr{NO!L{_9(Q?6XJy`JesypI0yb^1pecU+?!^dLY)57ccGiFZ{UE zxKQVz@jvooks?f9{N&)^rcF1EHy_%xX<${Fyfrp!LvampFYMSfSyla>MG?pRU6`Ty zjox+2li&T?6`Bm?i-hV z`%^ozZL76u@`f9RhHe_)_3iN;k3^fKRDNewcO8o1U(dG($Gvtr@8Sp-Zksf}aYudp z@wv~fe&U9~*kX-u`^KhCkHofX=#k0E>224lx=qf?Z*vz&lV0&(FOZ7NUya`Vl4Ij* zw#3={>f81YPYjN=|5Ja2xOC-Z{qDsPuYB``J0H`BQu#n6zME{viDC1{KmYDGUbUk6 zx_-THo7lTCe?_)g#WpM7@7TTP zv99{2xNB*Vg=;Uo`RRViyX2cc@uEX3(jCQP8z1kQ z8tTNQ|BwBbflqz(V7oaq@yip7zfE?Y_21*$`HS{2JyCqR%R;?3kMak%JD1ypDSa}c_D{5 z`hAXtX8NvpF4p-AWf|X#w8~R}K8393Z#GQnxh7CO|6iTYSuTqB@vy$a@qA8op-pS( zAD#Cax5_h^c|(-jv$qoP4yi`P4RV&Pgn~)g+~-=bX_qP3$+*eDSH2 z-);1wH%hm}Dpe`}@0Z^jxHzJf)7O8T<_w*)^(1e*YV(=v$+2t2u_>oHBNxnQYrb|S zRheg#f7~KZ^UK0ws?fTfifUg@h{Ez$o{KAV-f>Rmr|Z;x*gz_J%X>erkCskyvgKU) za_R9`^|xK8+01hleIcX`z3SZ5qMWbTQ&_c%x{RXUI2Q2^fstt4c#}X*t@?ZZx-TuR z?bniD&a-$nLvc0>J5E_KohlD5^T(nJSL|M(vvUgT+d`YeC1Yp1##OJt+3^EsX+mYM zoO&OBmdd=aiWxe$o8sxcZ-2S&#?$Gi&QTsUO8;o$sKkZcb8&Un>t6+URkh;p`0<%e zxI3LZjqY^Lw7d&u{Kog6^{O;dAf>qYB*%?rFV5fI*6F?Y^w*U9e#Y*5&hliYrc?JU zijNg%{h0;3dt0fpjvLK>+)MA@HGTSRvNzzPeRp^Ld+%$Uet+b`Z-Z| z*rJ48H_i#UzZ>fGUiT?SRj-`>EsVQ+(>djWF4z$o-#Xh>+r2m1BsMR*^Y&%$-ew(F z{Wx|4b$Z?zoL;Ev@l$nMRZpkYpU&x(b|H?nwsp2^wL9Oi9=|m0&iju>V`&}7J2$&= ziq~)E^oUP0RD1LI)!y4u-K*PFPw!01T=e6{ z8~vv4+v(p^H9I~V2(zbiHp*PAWz4pk-5$CBY=qgy5Jew@%Kb3K+$lv|RH9F36h@;zMLUl~a;!YS|cgph@Cj`%GDDqD-_208v z4HI9;0&}hV$D5yJ*B@`_e8Y(W z#>YYqdEZ-|!VJ9N_qzE{VVok5aSHiQp*F`kc38yg#cZI?H)f|id;Rkt%hkW~K6@3L zYs%GJiDxHm)w}C*&fyf!xSr)1m$1MJxac38X@$E-72VI1D{KDs;i&Pu8_2Sk){l?M zvN(H&F2K#gl=RlAuA@8pjav1R`~64fTCjc1H=JqJ&)#}+ENz)9w|U+gR`U)y_XEmv zH;K+K)@7f=DV)2yPnCRZV@?gyLEvl%TrqeyN;xNGROt&*ZkfxDo!;&o_rfAyF9r_x zXY^#_^LkJa6YKsz+1f3Jd@p$3>CDA>T2QB(f4b{*s=Ls}`6s7W;pVBFkeuEm`VHTY zPp^~(IMyWxPPNi?W1NR3nXcD!u2H6E{baj_^V^UG0?#FZmA`dC&+T(--`;PEHT{m0 zj?eS9>$!Ix((6Y*W3)*-Zb&@mAs^u7Hfb%U z_XC_8waG_rjcc&a$9vxT)A;(Tb2BFwYN;y^ooda_f16C6(X(Aob^f0H{HNX~@glxk zQ~K&x&wbq=?cEdcTx*l*=UJbu@%d|$$xYolef{j*uX3_8C?A1i1Ww~UrW;TD5fH~r z{rCy}>Gb2{=Qfl80RjXF5FkK+009C72oNAZfB*pk1WrcaqF*|hTxT=Se81$+m!68V zIdx?bI30nDK6*N1oyBpAvsl(5DXR0*^F1T8woy}UGAn($TF;@U6->{xE&>Dy5FkK+ z009C72oNAZfB*pk1PGiXfnxc;KSw1i_H+fb$?3`&j{pGz1PELJ0_Ab)(E8AE2AEuOFYM z$)5CN&gkiHRXv&KKbE62DyS~!)IX+B%elvWHtIBYP0n{}XC9IBU5|OE*MG)gKb|+^ z;u-M57oXpGSzJqh)x|3!>UDM#&g}Ej^YCo6GppeO7`uo%R%frCYhQcz$~u{n=2%bW znVUIsp3DT!Yo0l(en!>qmUqe7|8C_ZRnDmLCpnFUneBq#$Jehc2-|+VFqu!O*2{k< zXJ0_eY`?1g_>|^$VPqb@zL+^$Nlv46?doGn5xI@>2`?o#ss;RD%>&W?+6(^VX%yLan zq^b*=?yq~(bbnPRoN9kPk`Dp|2oNB!kOaokKpFLozebtHj;;BLh95gdoibhCdDzF# zu4Au%s%rBLpQ_`DhEElAo;pTSKB>zmSj~fJ@>p0!t zo#b?Xw@#0|7}cF zBcj0>-Ai(}zYE8p*G2=~HYyrRK9k$+P_)}XV`Dnli&Nvz{>`3`_S>yD&PDxx@rBc# Q+Cp1c1}p;$VHx=U0d@@Ey8r+H diff --git a/Project.runs/impl_1/top.tcl b/Project.runs/impl_1/top.tcl deleted file mode 100644 index 36ca9e0..0000000 --- a/Project.runs/impl_1/top.tcl +++ /dev/null @@ -1,138 +0,0 @@ -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -} - -set_msg_config -id {HDL 9-1061} -limit 100000 -set_msg_config -id {HDL 9-1654} -limit 100000 - -start_step init_design -set rc [catch { - create_msg_db init_design.pb - set_param gui.test TreeTableDev - set_param xicom.use_bs_reader 1 - debug::add_scope template.lib 1 - set_property design_mode GateLvl [current_fileset] - set_property webtalk.parent_dir C:/Users/jrpotter/Documents/Vivado/Project/Project.cache/wt [current_project] - set_property parent.project_path C:/Users/jrpotter/Documents/Vivado/Project/Project.xpr [current_project] - set_property ip_repo_paths c:/Users/jrpotter/Documents/Vivado/Project/Project.cache/ip [current_project] - set_property ip_output_repo c:/Users/jrpotter/Documents/Vivado/Project/Project.cache/ip [current_project] - add_files -quiet C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1/top.dcp - read_xdc C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc - link_design -top top -part xc7a100tcsg324-1 - close_msg_db -file init_design.pb -} RESULT] -if {$rc} { - step_failed init_design - return -code error $RESULT -} else { - end_step init_design -} - -start_step opt_design -set rc [catch { - create_msg_db opt_design.pb - catch {write_debug_probes -quiet -force debug_nets} - opt_design - write_checkpoint -force top_opt.dcp - catch {report_drc -file top_drc_opted.rpt} - close_msg_db -file opt_design.pb -} RESULT] -if {$rc} { - step_failed opt_design - return -code error $RESULT -} else { - end_step opt_design -} - -start_step place_design -set rc [catch { - create_msg_db place_design.pb - place_design - write_checkpoint -force top_placed.dcp - catch { report_io -file top_io_placed.rpt } - catch { report_clock_utilization -file top_clock_utilization_placed.rpt } - catch { report_utilization -file top_utilization_placed.rpt -pb top_utilization_placed.pb } - catch { report_control_sets -verbose -file top_control_sets_placed.rpt } - close_msg_db -file place_design.pb -} RESULT] -if {$rc} { - step_failed place_design - return -code error $RESULT -} else { - end_step place_design -} - -start_step route_design -set rc [catch { - create_msg_db route_design.pb - route_design - write_checkpoint -force top_routed.dcp - catch { report_drc -file top_drc_routed.rpt -pb top_drc_routed.pb } - catch { report_timing_summary -warn_on_violation -max_paths 10 -file top_timing_summary_routed.rpt -rpx top_timing_summary_routed.rpx } - catch { report_power -file top_power_routed.rpt -pb top_power_summary_routed.pb } - catch { report_route_status -file top_route_status.rpt -pb top_route_status.pb } - close_msg_db -file route_design.pb -} RESULT] -if {$rc} { - step_failed route_design - return -code error $RESULT -} else { - end_step route_design -} - -start_step write_bitstream -set rc [catch { - create_msg_db write_bitstream.pb - write_bitstream -force top.bit - if { [file exists C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1/top.hwdef] } { - catch { write_sysdef -hwdef C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1/top.hwdef -bitfile top.bit -meminfo top.mmi -file top.sysdef } - } - close_msg_db -file write_bitstream.pb -} RESULT] -if {$rc} { - step_failed write_bitstream - return -code error $RESULT -} else { - end_step write_bitstream -} - diff --git a/Project.runs/impl_1/top.vdi b/Project.runs/impl_1/top.vdi deleted file mode 100644 index 93a7129..0000000 --- a/Project.runs/impl_1/top.vdi +++ /dev/null @@ -1,506 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Wed Apr 22 08:01:21 2015 -# Process ID: 212 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top.vdi -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source top.tcl -notrace -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/0.9/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.0/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.1/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/0.9/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.0/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.1/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 173 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2014.4 -Loading clock regions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockRegion.xml -Loading clock buffers from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockBuffers.xml -Loading clock placement rules from C:/Xilinx/Vivado/2014.4/data/parts/xilinx/artix7/ClockPlacerRules.xml -Loading package pin functions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/PinFunctions.xml... -Loading package from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/csg324/Package.xml -Loading io standards from C:/Xilinx/Vivado/2014.4/data\./parts/xilinx/artix7/IOStandards.xml -Loading device configuration modes from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/ConfigModes.xml -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Finished Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 132 instances were transformed. - RAM128X1D => RAM128X1D (RAMD64E, RAMD64E, MUXF7, MUXF7, RAMD64E, RAMD64E): 72 instances - RAM16X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 12 instances - RAM32X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32X1S => RAM32X1S (RAMS32): 32 instances - -link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 454.113 ; gain = 268.148 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.302 . Memory (MB): peak = 456.133 ; gain = 2.020 -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: 134e8c1bd - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.421 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 2 Constant Propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-10] Eliminated 95 cells. -Phase 2 Constant Propagation | Checksum: 12b01bc4a - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.828 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 3 Sweep -INFO: [Opt 31-12] Eliminated 251 unconnected nets. -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -INFO: [Opt 31-11] Eliminated 2 unconnected cells. -Phase 3 Sweep | Checksum: 1e060758c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 943.402 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1e060758c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 943.402 ; gain = 0.000 -Implement Debug Cores | Checksum: 134e8c1bd -Logic Optimization | Checksum: 134e8c1bd - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 3.13 ns. -Ending Power Optimization Task | Checksum: 1e060758c - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.078 . Memory (MB): peak = 943.402 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:28 . Memory (MB): peak = 943.402 ; gain = 489.289 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.297 . Memory (MB): peak = 943.402 ; gain = 0.000 -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top_drc_opted.rpt. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Runtime Estimator -Phase 1 Placer Runtime Estimator | Checksum: 129b93edc - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.202 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 2 Placer Initialization - -Phase 2.1 Placer Initialization Core -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.016 . Memory (MB): peak = 943.402 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 943.402 ; gain = 0.000 - -Phase 2.1.1 IO Placement/ Clock Placement/ Build Placer Device - -Phase 2.1.1.1 Pre-Place Cells -Phase 2.1.1.1 Pre-Place Cells | Checksum: 85fbccfe - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.499 . Memory (MB): peak = 943.402 ; gain = 0.000 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 2.1.1.2 IO & Clk Clean Up -Phase 2.1.1.2 IO & Clk Clean Up | Checksum: 85fbccfe - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.1.3 Implementation Feasibility check On IDelay -Phase 2.1.1.3 Implementation Feasibility check On IDelay | Checksum: 85fbccfe - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.1.4 Commit IO Placement -Phase 2.1.1.4 Commit IO Placement | Checksum: b418e213 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.1 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 17336627d - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.2 Build Placer Netlist Model - -Phase 2.1.2.1 Place Init Design - -Phase 2.1.2.1.1 Init Lut Pin Assignment -Phase 2.1.2.1.1 Init Lut Pin Assignment | Checksum: 1b3beedb0 - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.2.1 Place Init Design | Checksum: 1af60e9a5 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.2 Build Placer Netlist Model | Checksum: 1af60e9a5 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 2.1.3 Constrain Clocks/Macros - -Phase 2.1.3.1 Constrain Global/Regional Clocks -Phase 2.1.3.1 Constrain Global/Regional Clocks | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1.3 Constrain Clocks/Macros | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2.1 Placer Initialization Core | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 2 Placer Initialization | Checksum: 22c265110 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 3 Global Placement -Phase 3 Global Placement | Checksum: 2d993f19b - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4 Detail Placement - -Phase 4.1 Commit Multi Column Macros -Phase 4.1 Commit Multi Column Macros | Checksum: 2d993f19b - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.2 Commit Most Macros & LUTRAMs -Phase 4.2 Commit Most Macros & LUTRAMs | Checksum: 22e4875c4 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.3 Area Swap Optimization -Phase 4.3 Area Swap Optimization | Checksum: 28b091292 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.4 updateClock Trees: DP -Phase 4.4 updateClock Trees: DP | Checksum: 28b091292 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.5 Timing Path Optimizer -Phase 4.5 Timing Path Optimizer | Checksum: 26957948e - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6 Small Shape Detail Placement - -Phase 4.6.1 Commit Small Macros & Core Logic - -Phase 4.6.1.1 setBudgets -Phase 4.6.1.1 setBudgets | Checksum: 29621e6b5 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6.1.2 Commit Slice Clusters -Phase 4.6.1.2 Commit Slice Clusters | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 4.6.1 Commit Small Macros & Core Logic | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6.2 Clock Restriction Legalization for Leaf Columns -Phase 4.6.2 Clock Restriction Legalization for Leaf Columns | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.6.3 Clock Restriction Legalization for Non-Clock Pins -Phase 4.6.3 Clock Restriction Legalization for Non-Clock Pins | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 4.6 Small Shape Detail Placement | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 4.7 Re-assign LUT pins -Phase 4.7 Re-assign LUT pins | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 4 Detail Placement | Checksum: 30a28b970 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5 Post Placement Optimization and Clean-Up - -Phase 5.1 PCOPT Shape updates -Phase 5.1 PCOPT Shape updates | Checksum: 246db08b2 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.2 Post Commit Optimization - -Phase 5.2.1 updateClock Trees: PCOPT -Phase 5.2.1 updateClock Trees: PCOPT | Checksum: 246db08b2 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.2.2 Post Placement Optimization - -Phase 5.2.2.1 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=6.059. For the most accurate timing information please run report_timing. -Phase 5.2.2.1 Post Placement Timing Optimization | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5.2.2 Post Placement Optimization | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5.2 Post Commit Optimization | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.3 Sweep Clock Roots: Post-Placement -Phase 5.3 Sweep Clock Roots: Post-Placement | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.4 Post Placement Cleanup -Phase 5.4 Post Placement Cleanup | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.5 Placer Reporting - -Phase 5.5.1 Restore STA -Phase 5.5.1 Restore STA | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5.5 Placer Reporting | Checksum: 286e6ad91 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 - -Phase 5.6 Final Placement Cleanup -Phase 5.6 Final Placement Cleanup | Checksum: 2ed776727 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Phase 5 Post Placement Optimization and Clean-Up | Checksum: 2ed776727 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -Ending Placer Task | Checksum: 20b640fd1 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:10 . Memory (MB): peak = 965.590 ; gain = 22.188 -INFO: [Common 17-83] Releasing license: Implementation -37 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 965.590 ; gain = 22.188 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.363 . Memory (MB): peak = 965.590 ; gain = 0.000 -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.172 . Memory (MB): peak = 965.590 ; gain = 0.000 -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.112 . Memory (MB): peak = 965.590 ; gain = 0.000 -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.015 . Memory (MB): peak = 965.590 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command route_design -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 11f9e7afd - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:44 . Memory (MB): peak = 1082.340 ; gain = 116.750 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 11f9e7afd - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:44 . Memory (MB): peak = 1084.004 ; gain = 118.414 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 11f9e7afd - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:45 . Memory (MB): peak = 1091.496 ; gain = 125.906 - Number of Nodes with overlaps = 0 - -Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 1b5a28d98 - -Time (s): cpu = 00:00:45 ; elapsed = 00:00:47 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=6.09 | TNS=0 | WHS=-0.096 | THS=-2.13 | - -Phase 2 Router Initialization | Checksum: 258be7f7a - -Time (s): cpu = 00:00:46 ; elapsed = 00:00:48 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 130551fde - -Time (s): cpu = 00:00:46 ; elapsed = 00:00:48 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 191 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 - -Phase 4.1.1 Update Timing -Phase 4.1.1 Update Timing | Checksum: 138a095ed - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.74 | TNS=0 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 1ac41c99c - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 -Phase 4 Rip-up And Reroute | Checksum: 1ac41c99c - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 5 Delay CleanUp - -Phase 5.1 Update Timing -Phase 5.1 Update Timing | Checksum: 1348a7083 - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.84 | TNS=0 | WHS=N/A | THS=N/A | - -Phase 5 Delay CleanUp | Checksum: 1348a7083 - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:49 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 6 Clock Skew Optimization -Phase 6 Clock Skew Optimization | Checksum: 1348a7083 - -Time (s): cpu = 00:00:48 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 7 Post Hold Fix - -Phase 7.1 Update Timing -Phase 7.1 Update Timing | Checksum: 1699103a9 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.84 | TNS=0 | WHS=0.092 | THS=0 | - -Phase 7 Post Hold Fix | Checksum: 1699103a9 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 8 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.316534 % - Global Horizontal Routing Utilization = 0.39649 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 8 Route finalize | Checksum: 17fac11cd - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 9 Verifying routed nets - - Verification completed successfully -Phase 9 Verifying routed nets | Checksum: 17fac11cd - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 10 Depositing Routes -Phase 10 Depositing Routes | Checksum: 18e96ff60 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 - -Phase 11 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.84 | TNS=0 | WHS=0.092 | THS=0 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 11 Post Router Timing | Checksum: 18e96ff60 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Route 35-16] Router Completed Successfully - -Routing Is Done. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:50 . Memory (MB): peak = 1105.984 ; gain = 140.395 -INFO: [Common 17-83] Releasing license: Implementation -50 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:50 ; elapsed = 00:00:52 . Memory (MB): peak = 1105.984 ; gain = 140.395 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.812 . Memory (MB): peak = 1105.984 ; gain = 0.000 -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top_drc_routed.rpt. -report_drc: Time (s): cpu = 00:00:02 ; elapsed = 00:00:09 . Memory (MB): peak = 1109.430 ; gain = 3.445 -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command write_bitstream -INFO: [Drc 23-27] Running DRC with 2 threads -WARNING: [Drc 23-20] Rule violation (CFGBVS-1) Missing CFGBVS and CONFIG_VOLTAGE Design Properties - Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -WARNING: [Drc 23-20] Rule violation (REQP-1577) Clock output buffering - MMCME2_ADV connectivity violation. The signal clkdv/clkfbout on the clkdv/mmcm/CLKFBOUT pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned and therefore zero hold time at the IO flip-flop(s) may not be met. -WARNING: [Drc 23-20] Rule violation (REQP-1577) Clock output buffering - MMCME2_ADV connectivity violation. The signal clkdv/clkout3 on the clkdv/mmcm/CLKOUT3 pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned. -INFO: [Vivado 12-3199] DRC finished with 0 Errors, 3 Warnings -INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -Loading data files... -Loading site data... -Loading route data... -Processing options... -Creating bitmap... -Creating bitstream... -Writing bitstream ./top.bit... -INFO: [Vivado 12-1842] Bitgen Completed Successfully. -INFO: [Project 1-120] WebTalk data collection is mandatory for users of free Webpack licenses. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. -INFO: [Common 17-83] Releasing license: Implementation -write_bitstream: Time (s): cpu = 00:00:33 ; elapsed = 00:01:11 . Memory (MB): peak = 1432.953 ; gain = 322.824 -INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 08:04:34 2015... diff --git a/Project.runs/impl_1/top_4464.backup.vdi b/Project.runs/impl_1/top_4464.backup.vdi deleted file mode 100644 index a2de3ab..0000000 --- a/Project.runs/impl_1/top_4464.backup.vdi +++ /dev/null @@ -1,469 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Tue Apr 21 21:01:57 2015 -# Process ID: 5944 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top.vdi -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source top.tcl -notrace -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/0.9/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.0/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.1/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/0.9/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.0/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.1/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Netlist 29-17] Analyzing 173 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2014.4 -Loading clock regions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockRegion.xml -Loading clock buffers from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockBuffers.xml -Loading clock placement rules from C:/Xilinx/Vivado/2014.4/data/parts/xilinx/artix7/ClockPlacerRules.xml -Loading package pin functions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/PinFunctions.xml... -Loading package from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/csg324/Package.xml -Loading io standards from C:/Xilinx/Vivado/2014.4/data\./parts/xilinx/artix7/IOStandards.xml -Loading device configuration modes from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/ConfigModes.xml -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Finished Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 132 instances were transformed. - RAM128X1D => RAM128X1D (RAMD64E, RAMD64E, MUXF7, MUXF7, RAMD64E, RAMD64E): 72 instances - RAM16X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 12 instances - RAM32X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32X1S => RAM32X1S (RAMS32): 32 instances - -link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 454.090 ; gain = 268.148 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.124 . Memory (MB): peak = 456.383 ; gain = 2.293 -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-2] Deriving generated clocks - -Starting Logic Optimization Task - -Phase 1 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 1 Retarget | Checksum: ebc4a2f8 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.070 . Memory (MB): peak = 944.027 ; gain = 0.000 - -Phase 2 Constant Propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-10] Eliminated 95 cells. -Phase 2 Constant Propagation | Checksum: 1419ce2f5 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.178 . Memory (MB): peak = 944.027 ; gain = 0.000 - -Phase 3 Sweep -INFO: [Opt 31-12] Eliminated 251 unconnected nets. -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -INFO: [Opt 31-11] Eliminated 2 unconnected cells. -Phase 3 Sweep | Checksum: 1fb38e3e2 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.323 . Memory (MB): peak = 944.027 ; gain = 0.000 -Ending Logic Optimization Task | Checksum: 1fb38e3e2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.331 . Memory (MB): peak = 944.027 ; gain = 0.000 -Implement Debug Cores | Checksum: ebc4a2f8 -Logic Optimization | Checksum: ebc4a2f8 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 3.13 ns. -Ending Power Optimization Task | Checksum: 1fb38e3e2 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 944.027 ; gain = 0.000 -INFO: [Common 17-83] Releasing license: Implementation -24 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 944.027 ; gain = 489.938 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.064 . Memory (MB): peak = 944.027 ; gain = 0.000 -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top_drc_opted.rpt. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - -Starting Placer Task -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs - -Phase 1 Placer Runtime Estimator -Phase 1 Placer Runtime Estimator | Checksum: 1207a0250 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.044 . Memory (MB): peak = 944.027 ; gain = 0.000 - -Phase 2 Placer Initialization - -Phase 2.1 Placer Initialization Core -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 944.027 ; gain = 0.000 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.005 . Memory (MB): peak = 944.027 ; gain = 0.000 - -Phase 2.1.1 IO Placement/ Clock Placement/ Build Placer Device - -Phase 2.1.1.1 Pre-Place Cells -Phase 2.1.1.1 Pre-Place Cells | Checksum: 85fbccfe - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.084 . Memory (MB): peak = 944.027 ; gain = 0.000 -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 2.1.1.2 IO & Clk Clean Up -Phase 2.1.1.2 IO & Clk Clean Up | Checksum: 85fbccfe - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 2.1.1.3 Implementation Feasibility check On IDelay -Phase 2.1.1.3 Implementation Feasibility check On IDelay | Checksum: 85fbccfe - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 2.1.1.4 Commit IO Placement -Phase 2.1.1.4 Commit IO Placement | Checksum: b418e213 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 2.1.1 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 17541c2ed - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 2.1.2 Build Placer Netlist Model - -Phase 2.1.2.1 Place Init Design - -Phase 2.1.2.1.1 Init Lut Pin Assignment -Phase 2.1.2.1.1 Init Lut Pin Assignment | Checksum: 1a8afd7f9 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 2.1.2.1 Place Init Design | Checksum: 1b9bffa85 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 2.1.2 Build Placer Netlist Model | Checksum: 1b9bffa85 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 2.1.3 Constrain Clocks/Macros - -Phase 2.1.3.1 Constrain Global/Regional Clocks -Phase 2.1.3.1 Constrain Global/Regional Clocks | Checksum: 2368561f0 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 2.1.3 Constrain Clocks/Macros | Checksum: 2368561f0 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 2.1 Placer Initialization Core | Checksum: 2368561f0 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 2 Placer Initialization | Checksum: 2368561f0 - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 3 Global Placement -Phase 3 Global Placement | Checksum: 2a66362b6 - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4 Detail Placement - -Phase 4.1 Commit Multi Column Macros -Phase 4.1 Commit Multi Column Macros | Checksum: 2a66362b6 - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.2 Commit Most Macros & LUTRAMs -Phase 4.2 Commit Most Macros & LUTRAMs | Checksum: 1a54c9b52 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.3 Area Swap Optimization -Phase 4.3 Area Swap Optimization | Checksum: 17b0626ed - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.4 updateClock Trees: DP -Phase 4.4 updateClock Trees: DP | Checksum: 17b0626ed - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.5 Timing Path Optimizer -Phase 4.5 Timing Path Optimizer | Checksum: 1c041dc5b - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.6 Small Shape Detail Placement - -Phase 4.6.1 Commit Small Macros & Core Logic - -Phase 4.6.1.1 setBudgets -Phase 4.6.1.1 setBudgets | Checksum: 140eeea36 - -Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.6.1.2 Commit Slice Clusters -Phase 4.6.1.2 Commit Slice Clusters | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 4.6.1 Commit Small Macros & Core Logic | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.6.2 Clock Restriction Legalization for Leaf Columns -Phase 4.6.2 Clock Restriction Legalization for Leaf Columns | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.6.3 Clock Restriction Legalization for Non-Clock Pins -Phase 4.6.3 Clock Restriction Legalization for Non-Clock Pins | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 4.6 Small Shape Detail Placement | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 4.7 Re-assign LUT pins -Phase 4.7 Re-assign LUT pins | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 4 Detail Placement | Checksum: 17411c840 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5 Post Placement Optimization and Clean-Up - -Phase 5.1 PCOPT Shape updates -Phase 5.1 PCOPT Shape updates | Checksum: 1d2f5ca24 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5.2 Post Commit Optimization - -Phase 5.2.1 updateClock Trees: PCOPT -Phase 5.2.1 updateClock Trees: PCOPT | Checksum: 1d2f5ca24 - -Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5.2.2 Post Placement Optimization - -Phase 5.2.2.1 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=5.825. For the most accurate timing information please run report_timing. -Phase 5.2.2.1 Post Placement Timing Optimization | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 5.2.2 Post Placement Optimization | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 5.2 Post Commit Optimization | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5.3 Sweep Clock Roots: Post-Placement -Phase 5.3 Sweep Clock Roots: Post-Placement | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5.4 Post Placement Cleanup -Phase 5.4 Post Placement Cleanup | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5.5 Placer Reporting - -Phase 5.5.1 Restore STA -Phase 5.5.1 Restore STA | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 5.5 Placer Reporting | Checksum: 1d10c8aec - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 - -Phase 5.6 Final Placement Cleanup -Phase 5.6 Final Placement Cleanup | Checksum: 1d5365216 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 -Phase 5 Post Placement Optimization and Clean-Up | Checksum: 1d5365216 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 -Ending Placer Task | Checksum: 12ad93528 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:08 . Memory (MB): peak = 966.293 ; gain = 22.266 -INFO: [Common 17-83] Releasing license: Implementation -37 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:09 . Memory (MB): peak = 966.293 ; gain = 22.266 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.403 . Memory (MB): peak = 966.293 ; gain = 0.000 -report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.068 . Memory (MB): peak = 966.293 ; gain = 0.000 -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.089 . Memory (MB): peak = 966.293 ; gain = 0.000 -report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.010 . Memory (MB): peak = 966.293 ; gain = 0.000 -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' -Running DRC as a precondition to command route_design -INFO: [Drc 23-27] Running DRC with 2 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs - -Phase 1 Build RT Design -Phase 1 Build RT Design | Checksum: 12fe929b9 - -Time (s): cpu = 00:00:47 ; elapsed = 00:00:41 . Memory (MB): peak = 1075.691 ; gain = 109.398 - -Phase 2 Router Initialization - -Phase 2.1 Create Timer -Phase 2.1 Create Timer | Checksum: 12fe929b9 - -Time (s): cpu = 00:00:47 ; elapsed = 00:00:41 . Memory (MB): peak = 1076.195 ; gain = 109.902 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 12fe929b9 - -Time (s): cpu = 00:00:47 ; elapsed = 00:00:41 . Memory (MB): peak = 1084.918 ; gain = 118.625 - Number of Nodes with overlaps = 0 - -Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 2953af8e6 - -Time (s): cpu = 00:00:49 ; elapsed = 00:00:43 . Memory (MB): peak = 1098.434 ; gain = 132.141 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.85 | TNS=0 | WHS=-0.096 | THS=-1.81 | - -Phase 2 Router Initialization | Checksum: 274689372 - -Time (s): cpu = 00:00:50 ; elapsed = 00:00:43 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 3 Initial Routing -Phase 3 Initial Routing | Checksum: 2588f987e - -Time (s): cpu = 00:00:50 ; elapsed = 00:00:43 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 219 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 - -Phase 4.1.1 Update Timing -Phase 4.1.1 Update Timing | Checksum: d503c172 - -Time (s): cpu = 00:00:52 ; elapsed = 00:00:44 . Memory (MB): peak = 1098.434 ; gain = 132.141 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.4 | TNS=0 | WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 13cb2fd75 - -Time (s): cpu = 00:00:52 ; elapsed = 00:00:44 . Memory (MB): peak = 1098.434 ; gain = 132.141 -Phase 4 Rip-up And Reroute | Checksum: 13cb2fd75 - -Time (s): cpu = 00:00:52 ; elapsed = 00:00:44 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 5 Delay CleanUp - -Phase 5.1 Update Timing -Phase 5.1 Update Timing | Checksum: 16ba8eafe - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.5 | TNS=0 | WHS=N/A | THS=N/A | - -Phase 5 Delay CleanUp | Checksum: 16ba8eafe - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 6 Clock Skew Optimization -Phase 6 Clock Skew Optimization | Checksum: 16ba8eafe - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 7 Post Hold Fix - -Phase 7.1 Update Timing -Phase 7.1 Update Timing | Checksum: 12dd0bfa6 - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.5 | TNS=0 | WHS=0.168 | THS=0 | - -Phase 7 Post Hold Fix | Checksum: ccfa1943 - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 8 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.3171 % - Global Horizontal Routing Utilization = 0.411978 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 8 Route finalize | Checksum: 165409364 - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 9 Verifying routed nets - - Verification completed successfully -Phase 9 Verifying routed nets | Checksum: 165409364 - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 10 Depositing Routes -Phase 10 Depositing Routes | Checksum: f3e7028d - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 - -Phase 11 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=5.5 | TNS=0 | WHS=0.168 | THS=0 | - -INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 11 Post Router Timing | Checksum: f3e7028d - -Time (s): cpu = 00:00:53 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 -INFO: [Route 35-16] Router Completed Successfully - -Routing Is Done. - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:45 . Memory (MB): peak = 1098.434 ; gain = 132.141 -INFO: [Common 17-83] Releasing license: Implementation -50 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:00:54 ; elapsed = 00:00:46 . Memory (MB): peak = 1098.434 ; gain = 132.141 -Writing placer database... -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Write XDEF Complete: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.471 . Memory (MB): peak = 1098.434 ; gain = 0.000 diff --git a/Project.runs/impl_1/top_4828.backup.vdi b/Project.runs/impl_1/top_4828.backup.vdi deleted file mode 100644 index ff79c5d..0000000 --- a/Project.runs/impl_1/top_4828.backup.vdi +++ /dev/null @@ -1,19 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Tue Apr 21 15:52:26 2015 -# Process ID: 6092 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top.vdi -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source top.tcl -notrace - -*** Halting run - EA reset detected *** - - - - while executing -"start_step write_bitstream" - (file "top.tcl" line 48) -INFO: [Common 17-206] Exiting Vivado at Tue Apr 21 15:52:28 2015... diff --git a/Project.runs/impl_1/top_clock_utilization_placed.rpt b/Project.runs/impl_1/top_clock_utilization_placed.rpt deleted file mode 100644 index bdf4e4e..0000000 --- a/Project.runs/impl_1/top_clock_utilization_placed.rpt +++ /dev/null @@ -1,163 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:02:12 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_clock_utilization -file top_clock_utilization_placed.rpt -| Design : top -| Device : xc7a100t ------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Details of Global Clocks -3. Details of Regional Clocks -4. Details of Multi-Regional Clocks -5. Details of I/O Clocks -6. Details of Local Clocks -7. Clock Regions : Key Resource Utilization -8. Net wise resources used in clock region X1Y1 -9. Net wise resources used in clock region X1Y2 - -1. Clock Primitive Utilization ------------------------------- - -+-------+------+-----------+-----------+ -| Type | Used | Available | Num Fixed | -+-------+------+-----------+-----------+ -| BUFG | 3 | 32 | 0 | -| BUFH | 0 | 96 | 0 | -| BUFIO | 0 | 24 | 0 | -| MMCM | 1 | 6 | 0 | -| PLL | 0 | 6 | 0 | -| BUFR | 0 | 24 | 0 | -| BUFMR | 0 | 12 | 0 | -+-------+------+-----------+-----------+ - - -2. Details of Global Clocks ---------------------------- - -+-------+----------------+---------------+--------------+-------+---------------+-----------+ -| | | | Num Loads | | | | -+-------+----------------+---------------+------+-------+-------+---------------+-----------+ -| Index | BUFG Cell | Net Name | BELs | Sites | Fixed | MaxDelay (ns) | Skew (ns) | -+-------+----------------+---------------+------+-------+-------+---------------+-----------+ -| 1 | clkdv/bufclkfb | clkdv/clkfbin | 1 | 1 | no | 1.711 | 0.086 | -| 2 | clkdv/buf100 | clkdv/clk100 | 26 | 8 | no | 1.881 | 0.153 | -| 3 | clkdv/buf12 | clkdv/clk12 | 570 | 144 | no | 1.887 | 0.257 | -+-------+----------------+---------------+------+-------+-------+---------------+-----------+ - - -+-------+------------+----------------+--------------+-------+---------------+-----------+ -| | | | Num Loads | | | | -+-------+------------+----------------+------+-------+-------+---------------+-----------+ -| Index | MMCM Cell | Net Name | BELs | Sites | Fixed | MaxDelay (ns) | Skew (ns) | -+-------+------------+----------------+------+-------+-------+---------------+-----------+ -| 1 | clkdv/mmcm | clkdv/clkfbout | 1 | 1 | no | 1.719 | 0.086 | -| 2 | clkdv/mmcm | clkdv/clkout3 | 1 | 1 | no | 1.719 | 0.086 | -| 3 | clkdv/mmcm | clkdv/clkout0 | 4 | 2 | no | 1.719 | 1.593 | -+-------+------------+----------------+------+-------+-------+---------------+-----------+ - - -3. Details of Regional Clocks ------------------------------ - -4. Details of Multi-Regional Clocks ------------------------------------ - -5. Details of I/O Clocks ------------------------- - -6. Details of Local Clocks --------------------------- - -7. Clock Regions : Key Resource Utilization -------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E1 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 20800 | 0 | 2400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 12000 | 0 | 2200 | 0 | 40 | 0 | 20 | 0 | 40 | -| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 16000 | 0 | 2400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 135 | 15200 | 438 | 2600 | 0 | 60 | 0 | 30 | 0 | 40 | -| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 16000 | 0 | 2400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y2 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 26 | 15200 | 0 | 2600 | 0 | 60 | 0 | 30 | 0 | 40 | -| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 20800 | 0 | 2400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y3 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 5 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 10800 | 0 | 2000 | 0 | 30 | 0 | 15 | 0 | 40 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* RAMB36 site can be used as two RAMB18/FIFO18 sites - - -8. Net wise resources used in clock region X1Y1 ------------------------------------------------ - -+-------------+-------------+-------+-----------+----------+---------+-----------+---------+---------+-----+-------+----------+----------------+ -| Source Type | BUFHCE Site | Fixed | MMCM Pins | PLL Pins | GT Pins | BRAM Pins | ILOGICs | OLOGICs | FFs | LUTMs | DSP48E1s | Clock Net Name | -+-------------+-------------+-------+-----------+----------+---------+-----------+---------+---------+-----+-------+----------+----------------+ -| BUFGCTRL | --- | no | 0 | 0 | 0 | 0 | 0 | 0 | 26 | 0 | 0 | clkdv/clk100 | -| BUFGCTRL | --- | no | 0 | 0 | 0 | 0 | 0 | 0 | 109 | 438 | 0 | clkdv/clk12 | -+-------------+-------------+-------+-----------+----------+---------+-----------+---------+---------+-----+-------+----------+----------------+ - - -9. Net wise resources used in clock region X1Y2 ------------------------------------------------ - -+-------------+-------------+-------+-----------+----------+---------+-----------+---------+---------+-----+-------+----------+----------------+ -| Source Type | BUFHCE Site | Fixed | MMCM Pins | PLL Pins | GT Pins | BRAM Pins | ILOGICs | OLOGICs | FFs | LUTMs | DSP48E1s | Clock Net Name | -+-------------+-------------+-------+-----------+----------+---------+-----------+---------+---------+-----+-------+----------+----------------+ -| BUFG | --- | no | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clkdv/clkfbin | -| BUFGCTRL | --- | no | 0 | 0 | 0 | 0 | 0 | 0 | 23 | 0 | 0 | clkdv/clk12 | -+-------------+-------------+-------+-----------+----------+---------+-----------+---------+---------+-----+-------+----------+----------------+ - - - -# Location of BUFG Primitives -set_property LOC BUFGCTRL_X0Y17 [get_cells clkdv/buf100] -set_property LOC BUFGCTRL_X0Y16 [get_cells clkdv/buf12] -set_property LOC BUFGCTRL_X0Y18 [get_cells clkdv/bufclkfb] - -# Location of IO Clock Primitives - -# Location of MMCM Clock Primitives -set_property LOC MMCME2_ADV_X1Y2 [get_cells clkdv/mmcm] - -# Location of BUFH Clock Primitives - -# Location of BUFR Clock Primitives - -# Location of BUFMR Clock Primitives - -# Location of PLL Clock Primitives - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports -set_property LOC IOB_X1Y126 [get_ports clk] - -# Clock net "clkdv/clk100" driven by instance "clkdv/buf100" located at site "BUFGCTRL_X0Y17" -#startgroup -create_pblock CLKAG_clkdv/clk100 -add_cells_to_pblock [get_pblocks CLKAG_clkdv/clk100] [get_cells -filter { IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clkdv/clk100"}]]] -resize_pblock [get_pblocks CLKAG_clkdv/clk100] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X0Y1:CLOCKREGION_X0Y1 CLOCKREGION_X0Y2:CLOCKREGION_X0Y2 CLOCKREGION_X0Y3:CLOCKREGION_X0Y3 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1 CLOCKREGION_X1Y2:CLOCKREGION_X1Y2 CLOCKREGION_X1Y3:CLOCKREGION_X1Y3} -#endgroup - -# Clock net "clkdv/clk12" driven by instance "clkdv/buf12" located at site "BUFGCTRL_X0Y16" -#startgroup -create_pblock CLKAG_clkdv/clk12 -add_cells_to_pblock [get_pblocks CLKAG_clkdv/clk12] [get_cells -filter { IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clkdv/clk12"}]]] -resize_pblock [get_pblocks CLKAG_clkdv/clk12] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X0Y1:CLOCKREGION_X0Y1 CLOCKREGION_X0Y2:CLOCKREGION_X0Y2 CLOCKREGION_X0Y3:CLOCKREGION_X0Y3 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0 CLOCKREGION_X1Y1:CLOCKREGION_X1Y1 CLOCKREGION_X1Y2:CLOCKREGION_X1Y2 CLOCKREGION_X1Y3:CLOCKREGION_X1Y3} -#endgroup - -# Clock net "clkdv/clkout0" driven by instance "clkdv/mmcm" located at site "MMCME2_ADV_X1Y2" -#startgroup -create_pblock CLKAG_clkdv/clkout0 -add_cells_to_pblock [get_pblocks CLKAG_clkdv/clkout0] [get_cells -filter { IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL && NAME!=clkdv/buf100} -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clkdv/clkout0"}]]] -resize_pblock [get_pblocks CLKAG_clkdv/clkout0] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} -#endgroup diff --git a/Project.runs/impl_1/top_control_sets_placed.rpt b/Project.runs/impl_1/top_control_sets_placed.rpt deleted file mode 100644 index ce13d2b..0000000 --- a/Project.runs/impl_1/top_control_sets_placed.rpt +++ /dev/null @@ -1,78 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:02:13 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_control_sets -verbose -file top_control_sets_placed.rpt -| Design : top -| Device : xc7a100t ------------------------------------------------------------------------------------- - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Flip-Flop Distribution -3. Detailed Control Set Information - -1. Summary ----------- - -+-------------------------------------------------------------------+-------+ -| Status | Count | -+-------------------------------------------------------------------+-------+ -| Number of unique control sets | 25 | -| Minimum Number of register sites lost to control set restrictions | 39 | -+-------------------------------------------------------------------+-------+ - - -2. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 26 | 10 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 73 | 28 | -| Yes | No | No | 18 | 4 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 44 | 12 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -3. Detailed Control Set Information ------------------------------------ - -+----------------+-------------------------------------+-------------------------------------+------------------+----------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | -+----------------+-------------------------------------+-------------------------------------+------------------+----------------+ -| clkdv/clk100 | | | 1 | 2 | -| clkdv/clkout0 | | | 1 | 3 | -| clkdv/clk12 | io/kmem/n_0_count[3]_i_1 | io/kmem/count0 | 1 | 4 | -| clkdv/clk12 | io/kmem/count0 | | 1 | 8 | -| clkdv/clk100 | displaydriver/timer/xy/n_0_x[9]_i_1 | displaydriver/timer/xy/n_0_y[9]_i_1 | 3 | 10 | -| clkdv/clk12 | io/kmem/n_0_bits[9]_i_1 | | 3 | 10 | -| clkdv/clk12 | mips/dp/rf/O11 | | 3 | 11 | -| clkdv/clk12 | mips/dp/rf/O13 | | 3 | 11 | -| clkdv/clk100 | displaydriver/timer/xy/Every4thTick | displaydriver/timer/xy/n_0_x[9]_i_1 | 4 | 14 | -| clkdv/clk12 | io/kmem/count0 | io/kmem/n_0_keyb_char[23]_i_1 | 4 | 16 | -| clkdv/clk12 | | io/kmem/clear | 5 | 20 | -| clkdv/clk12 | | | 8 | 21 | -| clkdv/clk12 | | rbouncer/n_0_count[0]_i_1__0 | 6 | 21 | -| clkdv/clk12 | | rbouncer/SR[0] | 17 | 32 | -| clkdv/clk12 | mips/dp/rf/O27 | | 9 | 32 | -| clkdv/clk12 | mips/dp/rf/O28 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O29 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O32 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O33 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O34 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O35 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O37 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O38 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/O41 | | 8 | 32 | -| clkdv/clk12 | mips/dp/rf/wr | | 12 | 96 | -+----------------+-------------------------------------+-------------------------------------+------------------+----------------+ - - diff --git a/Project.runs/impl_1/top_drc_opted.rpt b/Project.runs/impl_1/top_drc_opted.rpt deleted file mode 100644 index 4caa054..0000000 --- a/Project.runs/impl_1/top_drc_opted.rpt +++ /dev/null @@ -1,50 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:02:00 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_drc ------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 3 - -2. REPORT DETAILS ------------------ -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - -REQP-1577#1 Warning -Clock output buffering -MMCME2_ADV connectivity violation. The signal clkdv/clkfbout on the clkdv/mmcm/CLKFBOUT pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned and therefore zero hold time at the IO flip-flop(s) may not be met. -Related violations: - -REQP-1577#2 Warning -Clock output buffering -MMCME2_ADV connectivity violation. The signal clkdv/clkout3 on the clkdv/mmcm/CLKOUT3 pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned. -Related violations: - - diff --git a/Project.runs/impl_1/top_drc_routed.pb b/Project.runs/impl_1/top_drc_routed.pb deleted file mode 100644 index cb5bb3226dc0fb7cffeddb74a85bce825dc47e0a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 37 scmd;LGcqtV(KDRH%0Ib3ZzyJUM diff --git a/Project.runs/impl_1/top_drc_routed.rpt b/Project.runs/impl_1/top_drc_routed.rpt deleted file mode 100644 index fa3b0f6..0000000 --- a/Project.runs/impl_1/top_drc_routed.rpt +++ /dev/null @@ -1,50 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:03:16 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_drc ------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 3 - -2. REPORT DETAILS ------------------ -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - -REQP-1577#1 Warning -Clock output buffering -MMCME2_ADV connectivity violation. The signal clkdv/clkfbout on the clkdv/mmcm/CLKFBOUT pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned and therefore zero hold time at the IO flip-flop(s) may not be met. -Related violations: - -REQP-1577#2 Warning -Clock output buffering -MMCME2_ADV connectivity violation. The signal clkdv/clkout3 on the clkdv/mmcm/CLKOUT3 pin of clkdv/mmcm does not drive the same kind of BUFFER load as the other CLKOUT pins. Routing from the different buffer types will not be phase aligned. -Related violations: - - diff --git a/Project.runs/impl_1/top_io_placed.rpt b/Project.runs/impl_1/top_io_placed.rpt deleted file mode 100644 index d3ca818..0000000 --- a/Project.runs/impl_1/top_io_placed.rpt +++ /dev/null @@ -1,364 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:02:12 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_io -file top_io_placed.rpt -| Design : top -| Device : xc7a100t -| Speed File : -1 -| Package : csg324 ------------------------------------------------------------------------------------- - -IO Information - -Table of Contents ------------------ -1. Summary -2. IO Assignments by Package Pin - -1. Summary ----------- - -+---------------+ -| Total User IO | -+---------------+ -| 34 | -+---------------+ - - -2. IO Assignments by Package Pin --------------------------------- - -+------------+----------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+------+------------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | Vref | Signal Integrity | -+------------+----------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+------+------------------+ -| A1 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | -| A2 | | | GND | GND | | | | | | | 0.0 | | | | | -| A3 | red[0] | High Range | IO_L8N_T1_AD14N_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| A4 | red[3] | High Range | IO_L8P_T1_AD14P_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| A5 | green[1] | High Range | IO_L3N_T0_DQS_AD5N_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| A6 | green[3] | High Range | IO_L3P_T0_DQS_AD5P_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| A7 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | -| A8 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | -| A9 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | -| A10 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | -| A11 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | -| A12 | | | GND | GND | | | | | | | 0.0 | | | | | -| A13 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | -| A14 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | -| A15 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | -| A16 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | -| A17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | -| A18 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | -| B1 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | -| B2 | ps2_data | High Range | IO_L10N_T1_AD15N_35 | INPUT | LVCMOS33 | 35 | | | | NONE | | FIXED | PULLUP | | NONE | -| B3 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | -| B4 | red[1] | High Range | IO_L7N_T1_AD6N_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| B5 | | | GND | GND | | | | | | | 0.0 | | | | | -| B6 | green[2] | High Range | IO_L2N_T0_AD12N_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| B7 | blue[0] | High Range | IO_L2P_T0_AD12P_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| B8 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | -| B9 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | -| B10 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | -| B11 | hsync | High Range | IO_L4P_T0_15 | OUTPUT | LVCMOS33 | 15 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| B12 | vsync | High Range | IO_L3N_T0_DQS_AD1N_15 | OUTPUT | LVCMOS33 | 15 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| B13 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | -| B14 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | -| B15 | | | GND | GND | | | | | | | 0.0 | | | | | -| B16 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | -| B17 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | -| B18 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | -| C1 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | -| C2 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | -| C3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | -| C4 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | -| C5 | red[2] | High Range | IO_L1N_T0_AD4N_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| C6 | green[0] | High Range | IO_L1P_T0_AD4P_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| C7 | blue[1] | High Range | IO_L4N_T0_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| C8 | | | GND | GND | | | | | | | 0.0 | | | | | -| C9 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | -| C10 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | -| C11 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | -| C12 | reset | High Range | IO_L3P_T0_DQS_AD1P_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | NONE | -| C13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | -| C14 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | -| C15 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | -| C16 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | -| C17 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | -| C18 | | | GND | GND | | | | | | | 0.0 | | | | | -| D1 | | | GND | GND | | | | | | | 0.0 | | | | | -| D2 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | -| D3 | | High Range | IO_L12N_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | -| D4 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | -| D5 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | -| D6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | -| D7 | blue[2] | High Range | IO_L6N_T0_VREF_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| D8 | blue[3] | High Range | IO_L4P_T0_35 | OUTPUT | LVCMOS33 | 35 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| D9 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | -| D10 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | -| D11 | | | GND | GND | | | | | | | 0.0 | | | | | -| D12 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | -| D13 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | -| D14 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | -| D15 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | -| D16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | -| D17 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | -| D18 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | -| E1 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | -| E2 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | -| E3 | clk | High Range | IO_L12P_T1_MRCC_35 | INPUT | LVCMOS33 | 35 | | | | NONE | | FIXED | | | NONE | -| E4 | | | GND | GND | | | | | | | 0.0 | | | | | -| E5 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | -| E6 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | -| E7 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | -| E8 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | -| E9 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | -| E10 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | -| E11 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | -| E12 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | -| E13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | -| E14 | | | GND | GND | | | | | | | 0.0 | | | | | -| E15 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | -| E16 | | High Range | IO_L11N_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | -| E17 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | -| E18 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | -| F1 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | -| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | -| F3 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | -| F4 | ps2_clk | High Range | IO_L13P_T2_MRCC_35 | INPUT | LVCMOS33 | 35 | | | | NONE | | FIXED | PULLUP | | NONE | -| F5 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | -| F6 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | -| F7 | | | GND | GND | | | | | | | 0.0 | | | | | -| F8 | | | VCCINT | VCCINT | | | | | | | | | | | | -| F9 | | | GND | GND | | | | | | | 0.0 | | | | | -| F10 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | -| F11 | | | GND | GND | | | | | | | 0.0 | | | | | -| F12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | -| F13 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | -| F14 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | -| F15 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | -| F16 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | -| F17 | | | GND | GND | | | | | | | 0.0 | | | | | -| F18 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | -| G1 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | -| G2 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | -| G3 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | -| G4 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | -| G5 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | -| G6 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | -| G7 | | | VCCINT | VCCINT | | | | | | | | | | | | -| G8 | | | GND | GND | | | | | | | 0.0 | | | | | -| G9 | | | VCCINT | VCCINT | | | | | | | | | | | | -| G10 | | | GND | GND | | | | | | | 0.0 | | | | | -| G11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | -| G12 | | | GND | GND | | | | | | | 0.0 | | | | | -| G13 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | -| G14 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | -| G15 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | -| G16 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | -| G17 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | -| G18 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | -| H1 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | -| H2 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | -| H3 | | | GND | GND | | | | | | | 0.0 | | | | | -| H4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | -| H5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | -| H6 | | High Range | IO_L24P_T3_35 | User IO | | 35 | | | | | | | | | | -| H7 | | | GND | GND | | | | | | | 0.0 | | | | | -| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | -| H9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | -| H10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | -| H11 | | | GND | GND | | | | | | | 0.0 | | | | | -| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | -| H13 | | | GND | GND | | | | | | | 0.0 | | | | | -| H14 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | -| H15 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | -| H16 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | -| H17 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | -| H18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | -| J1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 3.30 | | | | | -| J2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | -| J3 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | -| J4 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | -| J5 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | -| J6 | | | GND | GND | | | | | | | 0.0 | | | | | -| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | -| J8 | | | GND | GND | | | | | | | 0.0 | | | | | -| J9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | -| J10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | -| J11 | | | VCCINT | VCCINT | | | | | | | | | | | | -| J12 | | | GND | GND | | | | | | | 0.0 | | | | | -| J13 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | -| J14 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | -| J15 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | -| J16 | | | GND | GND | | | | | | | 0.0 | | | | | -| J17 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | -| J18 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | -| K1 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | -| K2 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | -| K3 | segments[3] | High Range | IO_L2P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| K4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | -| K5 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | -| K6 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | -| K7 | | | GND | GND | | | | | | | 0.0 | | | | | -| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | -| K9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | -| K10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | -| K11 | | | GND | GND | | | | | | | 0.0 | | | | | -| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | -| K13 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | -| K14 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | -| K15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | -| K16 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | -| K17 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | -| K18 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | -| L1 | digitselect[6] | High Range | IO_L1P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| L2 | | | GND | GND | | | | | | | 0.0 | | | | | -| L3 | segments[7] | High Range | IO_L2N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| L4 | segments[4] | High Range | IO_L5N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| L5 | segments[5] | High Range | IO_L6N_T0_VREF_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| L6 | segments[1] | High Range | IO_L6P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | -| L8 | | | GND | GND | | | | | | | 0.0 | | | | | -| L9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | -| L10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | -| L11 | | | VCCINT | VCCINT | | | | | | | | | | | | -| L12 | | | GND | GND | | | | | | | 0.0 | | | | | -| L13 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | -| L14 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | -| L15 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | -| L16 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | -| L17 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | -| L18 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | -| M1 | digitselect[7] | High Range | IO_L1N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| M2 | segments[2] | High Range | IO_L4N_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| M3 | digitselect[2] | High Range | IO_L4P_T0_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| M4 | segments[0] | High Range | IO_L16P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| M5 | | | GND | GND | | | | | | | 0.0 | | | | | -| M6 | digitselect[1] | High Range | IO_L18P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| M7 | | | GND | GND | | | | | | | 0.0 | | | | | -| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | -| M9 | | | GND | GND | | | | | | | 0.0 | | | | | -| M10 | | | VCCINT | VCCINT | | | | | | | | | | | | -| M11 | | | GND | GND | | | | | | | 0.0 | | | | | -| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | -| M13 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | -| M14 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | -| M15 | | | GND | GND | | | | | | | 0.0 | | | | | -| M16 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | -| M17 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | -| M18 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | -| N1 | segments[6] | High Range | IO_L3N_T0_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| N2 | digitselect[4] | High Range | IO_L3P_T0_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| N3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | -| N4 | digitselect[5] | High Range | IO_L16N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| N5 | digitselect[3] | High Range | IO_L13P_T2_MRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| N6 | digitselect[0] | High Range | IO_L18N_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | NONE | -| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | -| N8 | | | GND | GND | | | | | | | 0.0 | | | | | -| N9 | | | VCCINT | VCCINT | | | | | | | | | | | | -| N10 | | | GND | GND | | | | | | | 0.0 | | | | | -| N11 | | | VCCINT | VCCINT | | | | | | | | | | | | -| N12 | | | GND | GND | | | | | | | 0.0 | | | | | -| N13 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | -| N14 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | -| N15 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | -| N16 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | -| N17 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | -| N18 | | | GND | GND | | | | | | | 0.0 | | | | | -| P1 | | | GND | GND | | | | | | | 0.0 | | | | | -| P2 | | High Range | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | -| P3 | | High Range | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | -| P4 | | High Range | IO_L14P_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | -| P5 | | High Range | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | -| P6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | -| P7 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | -| P8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | -| P9 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | -| P10 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | -| P11 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | -| P12 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | -| P13 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | -| P14 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | -| P15 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | -| P16 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | -| P17 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | -| P18 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | -| R1 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | -| R2 | | High Range | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | -| R3 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | -| R4 | | | GND | GND | | | | | | | 0.0 | | | | | -| R5 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | -| R6 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | -| R7 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | -| R8 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | -| R9 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | -| R10 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | -| R11 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | -| R12 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | -| R13 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | -| R14 | | | GND | GND | | | | | | | 0.0 | | | | | -| R15 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | -| R16 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | -| R17 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | -| R18 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | -| T1 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | -| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | -| T3 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | -| T4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | -| T5 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | -| T6 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | -| T7 | | | GND | GND | | | | | | | 0.0 | | | | | -| T8 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | -| T9 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | -| T10 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | -| T11 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | -| T12 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | -| T13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | -| T14 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | -| T15 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | -| T16 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | -| T17 | | | GND | GND | | | | | | | 0.0 | | | | | -| T18 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | -| U1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | -| U2 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | -| U3 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | -| U4 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | -| U5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | -| U6 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | -| U7 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | -| U8 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | -| U9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | -| U10 | | | GND | GND | | | | | | | 0.0 | | | | | -| U11 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | -| U12 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | -| U13 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | -| U14 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | -| U15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | -| U16 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | -| U17 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | -| U18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | -| V1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | -| V2 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | -| V3 | | | GND | GND | | | | | | | 0.0 | | | | | -| V4 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | -| V5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | -| V6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | -| V7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | -| V8 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | -| V9 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | -| V10 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | -| V11 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | -| V12 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | -| V13 | | | GND | GND | | | | | | | 0.0 | | | | | -| V14 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | -| V15 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | -| V16 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | -| V17 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | -| V18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | -+------------+----------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+------+------------------+ -* Default value -** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. - - diff --git a/Project.runs/impl_1/top_opt.dcp b/Project.runs/impl_1/top_opt.dcp deleted file mode 100644 index 59accd619572b8ffabe28b1d5db01ffd66b3d859..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 204038 zcmV(;K-<4iO9KQH0000806jpKMjYf-n?@ zuV>ct_VVz&5#l(ivNDZ)F{ceu%tKbd7k&=oTT!+KBVA? zi;~c6CZGWn<}dx8tnP92l~a;2u(=i`0jx+yih!P04qG;CIF7n z=xHPjFTm0_&EP`uvbzOJMba2>4$usE6$TbP0yJsPRFtMr0xQ=8B196;S%(GV33W6M z5rix%KS!eUh&bWVoCA#J{7oZexJ&V(V$`seDoL6_I0QLjSIkaSnlW5o8(d3El49VU z^XBPYQv-eBm>N+ zyP6x_F--e4a6MnSpSon#ZgA`x@}`-;B<$m~V~Am?&ixD|i;9lw+HIM6*;U+Xt+k#0 zTAMt){gvk0?|lPMO9KQH0000806jpKMw697ycGqohE@bS;{39Y& zEnJitS%rS%egBO9(9!+l>6zH{T-N?-nOd)p#eHPy@IUv5!^0sv+5bR;gNSJ)@|Z?9 z6rZ|{fWy~lsKla%Am${2xayW^CX-7TEG#3ROR{sM)uUG0ES6Tff{otny;e?>!QB#@ zqxb$gaI4>SAdnMFI*4CvHolDKRQLKkeC;mY(%tZe+Kx`*&FH_*CV1u|e{eqJtl9d1lE_ zGCm=ISX2<|0|2={fLl-=l&MI9P+d8YFRBCEkkpXfX_0o%{5ak7}ne!_jdbB7A)Q)ig& z#F|ninEGpT@H+-|DQz;yIsS+d3+Pry@!q?-3V=6Y|e^cJ-+q;bD__0`MVhwcfN zOaG0{R2e&qUu@Gbj|bKM)`m<`{%IyHj?Ay&<*H>H>tK|k0SLlyei$t4mi*ZJMs38c z$qz`C*9{9+%lcnLeZs5)Pt8oW$Am|fJM+KGHZL+$H@D=&M&VtF?bo|)3l~)^Dh8RW7n7{fy$M1p=Es$NV=9v~H3i-5Ud6 zF#>p(-5*ye?bVJ2E(BQw@Z+crK#&>mPd%(OSiqFZaaT`saA$FzMr)P+5fgzw4>QR=$gZ##x<}yyz_;Ik z;yD>Deu0P8NjLv#OrOzZUH3@}4rT`)lgrU;c1@ywiQ87s|HByel1<5t*J>A+Dd~R* z6=T+P6Cw#QEP3nln`b+1^>-!>lzlNQ@aAdSU$=ZUNKvnPtZg9jwaqM9g~|(eh0eI# z-$&)%elL_i!DZyi|FFUqoPfwv{&w5*`Hes(;No@0y#6U?fYe#CbymS&uAw3>+g?ig zOcG1%Ghdw!1o=+4ZY0xC7bOHLo|i|TLHe9 z!&kW7scPn`;B8EC3F!Z@g-j;RZ9c8}1#PRkZ7Alhc!FbSES!+@HoQ`dzXDPmWq*c< zY1fORCgpd&@*r5NFX*&q9tU+G)$v?MM(F54Q1a>M!AXj`j6$+>ysVWF3Z(wRB+cC2 z2EoCHFaJ^g8wRF?$^9c$g!9le<47WZYQE%HBENUuAVjtob^EQ5w5yjHnkE z2#+q;mKam1t5zHy%_1?Endf3O+juW7A)wsO?E%Gk{k9p})MPGzHd&&0cY#*0nU zy>z6iN=qZxtk2p$S#Q*Ne9g1);J{(`hI0Dc#GBSi`t#@Gg?jsX-GzOze$%vhreoEn z%mc|wwVQ(U#PS8lg>kS_vsC-=@{w}c!3VS0l36;pajioq1ujDm9lPGjhPz}fWiAr3 zI6R>`OkqNgO;9O4#zkunq%fU%yPlY*w+{R7bpD92T;GWt@8Bm~)U7b#dkP{N)p95I z%pZWlKp{Wo#4!GPe-Ey%pT(HSn<00va$SP<>gOcq&h1mJiy;PT)=lnG>o0m8mH6YQ~0+ZWA5etXQExshiW-9@Lw)_sM*PYS+tGJIAB$ zDu>K@bLQ;oqvq+l(;`I;WZAX+txQXP0>+Yp@QvlJ<^2mNO;o{gs(Qdu5h(lzo#>9= zZ!3-)2pZGg`L#Qu7?e15R8gy$@xzSL1MtJfW-S!xg}Qt##9-GZ_@3&X`b)n`vMp1} zdK19OVD_}Q6bMqtC*#S{CKN~GO9@g+(?}JR=oF}Biqdq8+_EGcJ&KlGi&rm~+=>>p z%Rk=29g{XPRwk3~Wa@|4SnFa@d>8qXwYE%kxr3!KR!c_M9mEi{(gajSbIXrr7VS>X zez%?14dX66_X-Ksa%({wGDDxosBFSn-M8Q^xd+B2qd*41Ou{tDdI9OZpsefN-C z!;~N84Px5b&Mq?%D5pp)2MLO!7Jwd+W$w-u^!DB^8xghxQ?1Op3p8Aca%eN2UvFh} z%iz5a&e8-(IfRp_Q%F$@Y(b`RJw>5qLP@=+mk+-3Gf4F!jBYS>cGE0N*BO$si*lYO zWjgTwHQ*CK`P$u(OkL$tuJ-zLzW(%XQSz1wMsdEDUeo+go5-$CRhKTd&VfCAj!;&l zOYsUApL3aAWO*!M%cc%ZZej)3Ns0TunBIb=1LtKo zE&7nYG=|gF!#3B1(^aLLs)XICVEM;&TKC3+h2q;4jYOE*b)jbcwY!rQ?m;nWsg@4_ zZRz|a&2Wn-&DRfPfzmj#4+d)T$~G<7pveuX1l)>G&sX|K4FdoM6$vaD1hkt8!`D?; z9xK_F00#+?j2*HMyiD#foyRFEfWq5xWN%x8|2WRBU4bq(pC_iyBxLRg|9k7^IzX*~ z+rRV1(g2+^bvH=#rUr>B-x9yJDW;AZK{&W|DW__|+LxTVowB@%tP zR&_n!m44Xj->6iM_-3{+Kast`wiNEK@|(27uOhy_jh43jgcuT*mHLu>Wx2&&?vA|T zNI_l(`bt8qZXwW~%27uP#W})jIJV=|&HX!EsxAA6zC zeg!PeSA(yJ4Jg%Pqgw z^Qu=m)L#p=hI>Q~JNOGANl-1qIW~QLUco);_Bol__WJ2%>k$CBJqzT0sz7Qj^LH*3 zhN!{978I_l76PHp2zV$lvUL6V*tjx|S9z_}5Z^C%6%^jTd$r5K7U=^9F_x!$=su<+ zC4PgdSW+>oiCS`lTH=iW&XfeKKIKa^hs^6K?-@uSaOl!>K-Jdp?m+G!XO5}YDD=q| zT1`#%0xBs(MtFZMrJ_fzB5py1_NY@%JkI>+1`e`^1@$nE&lgV2_ht`8rw2`kP!W86 z9|r0>Sgv-#r@PLhJ_fxmo+g#*iQRd^GiBeBef84?IrHE+klD1rrC-zp^Wxyes>*bJ zFjMMaPb?RoykWo{l45AxNC;X@Zj8%QXVmdkn>-7H`9KK#rY`@JrkXKwfs%{CzX;We z>j}Sa`gVRByOMpb?|IfMiLF^#&51lB?q~@;NZ5}b6tW)}^MdWRX!0W5pIHMDRGqf= z#X|N*-YVg@IMK7C&Gpxh1I50O(uCDUlLnKhB470B@E^B&|Ik_vf0?3AQ>!w3)% z#Fa|x!!!npKdLPpSx@gn)_+hKA_9V9`xzpFf@b>}B%$FI5XR}4nIt_O`>EF7FBZ~` zjiuY2ezjgXM^d5eZ*59GbBlA35|(ow!Is^ z&JQ*e^WHZUqm7I;QJZ_pp-LQ-B#uZN`{T$HF+;as16Ljtq=Yy~$E!K`v^_%M^>~It zo`s4WS4YbUB#svtRB$nl;3IxhK!#85-T<5fro9l8%)JhjgEk$BZf8FezV6j>a(XqH zSFFuNo*0ub_Q|y@NS%d2cu=_E*r{AN=seo}zm|!?JYupC#TNkD3^)od_u#Qfv<}t? zb^S|5J1voLAWO`oNM7tOwsoCK&Z~)kFQJXEs6#l?I5YL3hPNOd2F!gROnWD3`Ajf4 z++&8n;C%<1t7L{L`p-MUPStN>g2_$QpD;srSOcdZ(7*7#ez5TK-|NTCB*{zFPm%j4 zv7ccA3Z4;RyvEE#)lmk1pOu|8TXS0F_^ESul6E5gBuh>v$6c7)^^*O=7NvF$MqFJ; z{LWD%+zaKSJ91G8f??OYmF7I^C4r~9J>34 z>vG0>uAj39=pX|sf(VQO^EU@{7#kQHEb3i@@5;Gy9Gxs31aUE`s2&}eE}WUP3X#5S zFJ?nWm#EwI&)FPI6KO!4DiEZ=ma1%AmI4rX3@cI`kWW9~_^3}35D+$~n>0im(1|Qi zV#fpjTt5YcEST`t8XmMSu#oJe1_lv+$>qflZ%0K|^u=%?M?E=DRYeT+O@ARr-PsS7 z*h|W?r?AV8yw|FdD$1f`b?;SMA+Wq?XhB?*5FQdFPf5Jjl$Kk1nkV{S_ur<#9pVON za!-%AbL=y;kq1CDq$azLsScpz%ICGz+E10ARG9rt3bf3%TPY@4FFtCLL1~iNjP+!i zkK7kdP;8@=J~WU&gi~gom8%WJ89%C_ZJkhvTjwFT?AAha zOOvNC@d6DrXKpKpVn+VUXt!({R^kZp92*Yjc46se)2wSoNiAYo7`miP%bcA9-;r2I ziYk!aEJfYrYP*m6d|I`-A5l5F?6{@3(nx)w{_6q&(cZ*(5x#FnyGt8A0j(CpRv}Km zSBS8J;5iQI_6zp}6ZeGOQ`RxBrQC-U(5;z%Ef=oKWAFK2D(dp7w`d}VF; zKWTL40p;@xksMNk+!>smcmv4*W=K^_(25|etk+WAW~uqwIa_$iFk$eUinB#6L)lXb zZS`I@ITYKy*MO5fg@XjLmO~k9!OG-5`e;x{ePS%tP%>qZL2*0XLM+u%GG&-SaeG}Q zmKusYRWveXVn}62&~l0}r9eF;d)-Br8nZlAW-?`JNM%pZa+)xuV7*0q-AW7H$`HLu zzFK8FU1pXVK%Oc*nKB{d(iw#ENXS@U!!b+IZVf$ib7U!prR1ieJ_RC{f}5q}O)dS6 zxjx7U1YDa9uplc)2n?7z8sMVk!<$|;5xzoP_)2L|(49+_7*f>{v?47179F_!2&mlB zXVJzVlee>21{lp@Z|Wy+=XMo{9+f`}HKgS$gk@Vyv=W>u4$PyN0XQ}n|0hu!$kxdU zvlvHP9PjsT^^IAd6mN5opZn9uRT{Bt3`BdgyGMG8$`(I$2a(@M@LB|~z8UjZ%CjHD zC)lARy1_z^GK9q{LSgjq<@4GDdQwh1-3C-0lixdP8dGk`Y-^e&n49tz!A2m!K39nD zSHno3FFOAlqUP`BV=E>_oXnwBr^@oZa}#*Q*Ex3^%42$76PIo3z33O8_W^1DQ-q*r z7(D=$c`1D%JPXolL32Fx9EU|BGxr<~UFKzoH)B|}5HST3FjELnSQHQ_8gS|T55*4I zS(n_GR}0e{JKvvJLLOyME|Sn(MF&-^8h_4I34J)rz=@wJ@mbD)0{TkxS%`>70X8aNQ=*QugnweGP#~wp6KJi`Ka9 z*Y>2MG9kDGzawK}PI{?<6C-Vn#857K7#|TO|Fp#Dg{zkCawK8RHC1`JZ)stksxoNO zA{P~@HKF{CzbQ_Q(;zrM2pP(uXQo47r210n=|As`H8zZ~}3*gR(kk21BD zqS&6nA&}0$?3uaY5HCC59o}nJ;IUpu-#8(~rqf2s{65G^G_tp{NiGh1cX=s>Sg z9IwJ==B@?K9yS**UUx~vmtMKF&ySZ=3ca*RwN8&Q{=#|+Z*7z)cV-IBqN+E0E?49p zl{DrR)MZy0EKkrA+1o%VU1LtMXWls-fu*u*8&o-}k)AvU`c6Z;^Ay)iSfWlhGqF0; zRd(_5&0Vr4uV_iZ#S`a>?y$SEU%UfA-7I~+AB-$<3?D5Z#MZ^X^1sf7OX2f8UF*w zR+SxUDEYj-qs8o$vL)^EX~o-U#}M8OUdd9V%y%JG;adIuO2wKh{E!Dv1xu0TDn)qX zBol~Gh}#LnW<%{H1RJvw$Qb0pBooe}N**y@g1S**2BOorxrFNn?XHjC>UsO0ij9YN zM^E4O1@na*uy{FRG%G%sK6GBQ`_E54y{;YH8&f=@B?^2qiXTpPX={o2>xi>K2CLMsF52AzOkNRA)*Z~whnhN4C-Tk* z5B_jqdbT}ZpX#ZGUutkgr_mb4pZ?w1Io|B|ygwX%-;PiJN|O7cwT%kSo>!gsKcIyq zW`T`%w_^com2A_~vTom#jW!;DfFxX^6yy>fnAeY2Z94%a_(6!Atriq=MVyBnCb=9! z*s6|wNgd;iJLN5vOT_z#Be|a2y9F}ez1!Jb<8X}Q4rykOtdq}WRTmR#h3?qQDL2aH zNw{}k;SQb1ug3^YImKF!-;ZTQdAGJnusT{Rp<4&6r{>o{!>^XSqn^C;J!&K)sV4Ve zzY(N({&-AhuHUXo{Ro<@Xuddqv!19uR!nbvco5KZYD>Xjk+_8q?zJ|)sxx%0%cYA% ze~N!0(3m1+o$KC`>6)lA8fUV&F+%2fyz;u#4SJX13!J8CD;mii%%W z6x~GNb$tl)1q1m zh6m=H@eYTMdXU&SyF1*j-~O7h=J`_If{c3GdbQlXvuiEGMWNUg_@R8Q3jE95*V#dG zRzs)!)UgrqQ2Zta6g;H@YnN1ddb~)1poUF^cG?%lp$Az5$q&De-mX&+A#tP^IZ!*# zM;ui*RGwDuH?eq)U}17e4lHD6e3>6aLRFF8Af_gLsEQ;q>VB#oc2!CbB?(kms3bkG zO2j_eKG>i^QAz;i&~+HSpB{yRWG|Ziby%)~Po&E)Y|g!srHT5biQUT7eJvjpO&{aR z)P4=d;dUU>7NBW$#^7e7C}&`48{pU$zFYMP(Hu=a0~)*?8oX;sSde)FdthK^U|(Z( zq?Du=DqSKuNfqsyvEsK_lyl;7@wt58JX2%nK6z`x@S%=-2%Pks)1-pZUQa z;n0!cVs^FSY7*rdk|$wGW9l#~dS&tz@=I~)=n77HiEH&l*@bR+B@mY$) zhVNtV^wr~^#Jmb3sRO%5DQ3)023$Og;Is;1|t%6#)_qe1UTB{uY6V5 zgE$CRUTvnSHX4hctZv-&bVEt8wM4ko@(@E~ z#a$4tfq1&NB+&N%rXSyww;+7|n?C*zy&@_I@2-leG5}AtEM&M06#KOymeP zmPV;a$j0-w7}+CWc1c(izrK*nA~a-t($Z4(B773yAiCj3bSV++zV3gqNbsm#df$?9!!NQVHc*Da*2m{3ty}GgG6kyWrZo>xgGcSx;Ef^m?c*n$*$Q}ZWl*$6!YeVA}fuNlZCij3Q3+Z=RYCS_1?&=&NH$pa5@UB4RUIvqgE+B;leOv0yi`%@<$kJLsp*VciCGeeGAohIpH{I{#f$=IJAVj!g(D@i{) zsTuD+upv|{_~6p3IFl;N;V~y+u03O<6Py$ZH>=!pdURXpZfciQX3V-=e;Z6mq~5h% z_C};A&>cZlH!XO?1&*X=-v(jcVZU{V^?Z7h?)am6@MUMQWr#dUcNBzty_MA=!(6`5;FE4}wS8nA#H?00NjPguII%Hx zh=a@wyw*o!0ag z#D6PrOe)icM^Ik2&6lcL-}^{)?cnvcAD+4S7EvWalEStdXqUn3X4IeHajSz!z-N=m}ZaApbig)&?lR2=Z zcJ-(pQD`TTF&RbSv~Jqsi6w13811~}a$Y_lr*=Iu$Z1k(T!O8rUv9Z@)RPD?5%;v0!Lo`J8S6$zGa^s|T^bL1Z6#}7>UV?aryqGM7m^iUe z>47|jYl3(H2WlU=hr&rwjTC-*U!zRP{1SL06|VzhJ>sF+_iokkzA|SlSrpJrFWHSd zK(9mEYu5W?J9CD@PVhvP{DY!Uqwu~gK{|1+SiVhBJX-uz7W#ucmy`IuC}1jKCK2>)Z{y_J@89W>Lf!qC>pFJ9G9z+FPFei70hgRlRS!VZ?V2hX= z+;O@F>xb)nx1DxtQFI2$IY*QXo9m&ASY^zR(OQ8qVj znj1hEh*GcNZIP^in%5%bkn7w=<{5^z!dgdg`2`IbOjVhH71Tp5&^O))dv)Od{(XkU z$YpIaQ$t~W1bKM-j$F|T+AIBXcGC6EZ)Kl@iV8YolY*k0K^2;ZKDjPac45iuX>mkF zjFGT(-3j~$T5nL&D{u1WgC-TRj=qh4vHjf(J^Ib&{L`>2LuB#jGL{XmbKBJHSN2f! z=t*Y%Cx@F?+Eno<#_=3GfOqPsf4E(+bE8hF_`=DNMFerQ-;%+Rhkd3oMISp_e?ZcW^{uRzCu4InZHvdIwav_{h zjdnOM^iq*iizVl)tjLFfR^vBhCHUPQUrTWW2Cy3?cUwK-s!yPWZ1)R&Kv*`-P1vxh3w;F5@$sEi2B9ae0x;{{(m>(%Vr?bNK)^*#gcnT(wd&`QiI+`2LJF&wkEd}#1`U(q_uXMuafa&UHHK@- z*t{kb)uNR(U6$H71)us!S|RIDM&;*QxQewVDoU<6P(5-=h*2M@Ua2zyg&~5EMBo^s z=;Ke#$Ddq}KPfo-fLedb9{%+4gy=r~321tg7^)jC9vc5us9^8`(H^jsbQq!*T{;E} z>8CaQk8K`-#L|+brtGtn0^YE`8qs zgase$4X%gBfBPP;{n9?p6C!zDA7m*j0f)EaCl}`zBM(-F z$j#{vm3-GkeD$d*F~qK$9^M=6o0CJbB*_$6=i}b+%enpDJ0Md87jk%HAFxGR-VQkrj z@V=?K(tfeX@!sp%(|LK7@?mpB`{dF5&Av+Uo!u9cm{s@mCm{NBs{Bi2FtRv#SkX)5 zqbQzov2y+cDc$e3_u-GF3d_aXL*Zb;(t{1t7?D+XTkqEIB2;U+W1z#PWq?m!Gt|f8UT9l}`Kb-+ALab<7q|w1rIccqq0za3sHyeF&!|p}JS2Lceu`UA`eZ$6NbAT4^HgAFI9R#rYC5*;iX1)NPJ7 zuUspxC77{0gi?S+3eO9Hyd5W7TVUh&J2_PLX-n+mD-e+=EX^;Rqf>S;DP&6hMV)w5^ewrb z&dQs5xiu)N$9zlZ|40vW-)VbEAXq}*avFy^1^oQCU=TqqRX-D1TWE^zM&HCV`I~nr zsYnfTU}cU8wjWa?&FBy9KLYvE8o6ZA_BS{DZZ$|fDY(&6=o*62%mjP0pLB%BzcB|# z6rqvkeZTi;fICl{T18%=Jm2AB0sm^etiq#{cGcC*%Pswt3A0Px4UkB%g6fhX7>Z=wxA1YOLj4=Th~CZ0?dvXL%n>Yag%w8~DImwlD$R+=P;~ z2z|h|yXe$+oE%Wm47xGnDuw*Mh797xKIY~=f+Y@RCIMB6OeErGAO>YFaiT`tf(=b0 z@w>VTjM>gOh-6w3IU(qg9%rq&eg&1@)vn z&UeO;XuYC@%QyHfT(1Z#`whAT>J`UHzX1n-y<+?q(|C$<`sxDnKk4HsOI{l(OKu%0 zOHS`8OLl%KOICd;OJ;7hxswKTmC#!c(vGlhgvc(01Wtqm4uk}DgakH(1XhFu7K8+5 zgajsp1V)4e2876Zgao>T1loiInuG-EgaoRD1j>X2ii8C6gaopL1k!}al7s}}gao35 z$ijrkf`rJw36c2-k$DJ_xd>r6lG!StWgb?*_a(WU!$hujgziew!hkSVK)Bj~njb3# z?6TXArbOvU3gGmbH_TmV6S~^ul3!aix<|FzURR5I-x8mW&m_dfjT;#V*31myT9qmF zp&HbG3gj$~VS=bQU!Jgb&r0#O(<*k~7Rh=hD@xH&-!-kLGFrE1vj5+KmSm};#F1q) zqw$s#r~UsOw5Q0sCrzwd8BcZmb)qkSsEQU zw3g3+3h5`T;1Edc{}&SdSM@(I2J_!g?;rS`8~Sg^`G+d*XZU(VrP~o7u2_T+fqv|W zDiH&E=zoFq|5g1D9QZdx{uiW27yCEdfY$e&P;H%59bHY=%P%CFlSeis4{b;gR2L_p zCXP*t6O|Av_?O&D8oCiLcqvBkL=@{DH^MD;kVRxC^KaQtjG&h&6ftf9Qf$wE0~%NK zJoY@8r1UuMF)2K*oHdD%sXLxkp_jca@nc=po^1&0g1qraYA3Es)xbr&Rfp-Sb^3OL+U@c?G(^yO{A=94`lNOEdIJ;j zA*-Eg`Xld{r{7**a><=WEeld;8Z_v*v8Cn)TP-VCXj(v;i!~bmz6*5xNqhF`*Rb;) zoA6cp&TI*oyl(6QSK6E#qGVFipRwFhL&@T&tYHN*U^F(t#t5p1t-BN$;u4VHvZR~J zE%#q4xnRmWF^ddiOYYP9lnFa9*lp@VuWnba9)ZZZz5=S_5&qv<`nh0gJF-g*SGnK4 z)X03%*$Nsbx=uQeW6{M+z!A);?fJe-Dh83wWBb*|B@>q1f0@+=s2}7kG2j$AX0h8* zBWkgKmyDWb9{Zw8A@A{)o{tBqe2EV=$Nstr&(&|P8>Ds#*dQKOPW zXHRIHm^aHj=0}%^5kfGajvsvGN1J~6e#rgb6Kl+p=Q@@M5kk}SL;#1`En^whXiPS)Z~#2e;1S295Ewv< zBm{hdufQP(tk8E2jX%Uh559foVV)lZO*%iQ@y<-^RGu6^X!3LpFp~|Gmhovxj_

1ESlkB`#fezDTYqrfI)$w0YpDqh z;F3VdI;RpmBxWkQ8#hUkTqp~8#^W)jO{)+xfLKKqe4LNER5xkaZxrd4+8moM>pSf- zf2)G-bn~)}GSIMmb(S;d*6b(*_t!&u_dkJ}|u1-<_OU zT%Vf#Rd(t;P2Sbo>lin+r|Dq_e4h(9J>Kt6G&OZTygV20Q*&~0t(+gMZjf8PBt|}T z`Zm9aJHFla7qQ=m4#CD3v73AMz^n}iPM6i@Wuv_-ipL0n?#iO3mivvh-re(h@>{W( z-J9p*vdg{mR;jn5qNkgyWanAQHYRS^n*i#E&T1mOgb;+@*CGgHh>DGm4>)9qs*O*~ zw^Ot6$@zBbNWVCWCgQ3QNB2F@b>8hf&m8P%tviG&ZnpI*)Ob`K_n&s&fdbiol);e_ ztXn3IC2ZEg^16>!E@T*}J&iK#dzDi_$QyCYeQl@3N!fY*v*X;fGmmHh6ti__WmDa{ zF~f+7NXOq;bYUWvu-0u$|9h9&g&VnleER&S;@2eOxl=RFR>qz8@Nkm7QMaYXlv3bE z)co~a_6W1z->tz#Hv*T@t zrK|S&!OQyX`_d?E(;;|eJciXctOjXyGj;Vcx~sFyHtwv{JSjD37oUeW zqOfl^jdbGtt-|BWIt%rN{09}5-s2pYly1@-|()Z6FQ*9$D9 zeV{+2h?A@y}?G>*WQT<H7FY+I#oCIHJza(8{%l31W(cC>Y87B4KK1!;)5SB_*bVoby6l7RnbnZib=T@Mu zQJ{^<=N8J>QlV*3C?yq+C5bzZ%Wo!$Ka9zDevjkwA4%fyWAgsrkPr5C`$Cm!vXBa#~ zRu-4F!%R7AELQZu?KjE*E{n`&d{?n3pFxnVn-+6CEX$(5d4WHmLd%QRIGpgxsb8;D z^hukT0rCgj%lYCgNF&NYj~>a+2#8lP`Ml`$*&-_ShllS*>>O<=TG~z48CgsZCnt8F zUN=@Z#&1KkypzS*=y%a~hwo3TljF&>-2iS698Yyk?=HZ__f6ZE$a`a#jsKD7Pe%3q%C9A`!^muGq`9O@HQ zTb>z_UYQ>+UT!zz?Sl^VZ*+yHCxdI8$M=te!_!TK*DR~O0jfSbRD$et zXMDT1b!zNLmHvGBI1O`L`$eBA^YDEm?@FJmFG}&xv$rd3>C2OI9qEtJ8`HN&H)>^_ z)mWRb3(TkhXpufR)X?9_P4I$mZT+IMY{>T=c6~vFf3j7iTWp9nnnYuKez&z}K+Sn` zvVT~;RxjeZe_RDCvo7<}7AJR8^LD1wHmu4Wc(B=d<%~48f(lN-1K2%r*%u=-x}q}x zCuf{IRb`LcrnB09pgNu$T;k{Va%+3BbZ&_P<+S-j;JncZ+j94kY;FRP7m5jv<$Hh4 zYumZByt{@MCz%4khCWdBxQB`_cQ z$xAXj9&Gk*+*mB-LGlZT6W3N?n0DZ`P%Ji*LSQu9D>63{?9SW`J~92xGM6{?3z}#$ zZ0NOguDSNGEO?P=o@y?;T~WA0h&8B-$xM*%QoshhPb}6__<9Xi&Gb0@%D3aR1wFI{ zo;Yn-`(J;v)mOiA4UWT7=YQt*U%O#BfjZf+0ts8KID*n#Ky2LE{mV&j^t4ojciR4o z>{#WHP-vi8{~x{FL}u%EPgai;MQE@?zo(An+u5qH^Swaumk>Q8@ETaxe^__2lmF!) zok7FGoH%~F+ZXo)Z~EYFz@Nx(D!Z&N>p8m$?uyQA9nAJv{X6n5bUPAANS}aZLRdrS zhFkO$EYO|douT#Blz~3qp8I?^eLT3HaHC@OB_MY&jh|>O=9*Il+QyuThOkz)CmW;l z7|iqPT9cVOSQF#iWs2ia{--P|{YXUlmIv7X>@k63q)NUlyc7Xh!I}QSSFZ{lQ%ANr z3gBHSG3~%Pj%{EphY^o}JnuyRmtU`qrifE^;#Z*UTM=E?y4oimXZeL*5@aa*rCt;w za=nCWNQ_ptQw&Sxxi!Mvmcu4I4#~lhiKsgZrqjnN|5|+&C#R_Wk|Q&usaacA5trnM zlot7uq)Ju}Xb*m)pBaHOBZl54(Ga z!rPruuESpk+_euEkw;WZ-sRAgNSv7zpC-eCzq7-u!%yW^T$&%L#+q>7>{Lj^* z5kX#~61f99u>e_WB-uzv#eHBU7rsQke+=!HY#?Mq52!j6SOXH2i7#Y>F2sHMgVA;e z&na(}8t>(YhwB~toa=D;#J=Ov4auF$7}u83uPcV=r_|x()Zys)C>?K9`(D!mwn(Pp z*j=XYG8Sa0yN~9XGul^rNl0N2CYfpBs@GoLMd|fXJld7(7l|!q=U&r4^b;uPc;G<QHS(SKnn4 ztAdYsX)Wq0D;^?Y1C*1QSjbn=_k$~QGqVW&IaHrHj7G8lyJz=k6F&9GPVH#LO{L0 zrD$jcR>r^z@}F?#K5dnEyZVvCwE$@1I^Qvi=*51+q$HZTlJH;~q*5)(Z?thE#R_Pz z!MbTQb5pTFbwb%%bSb3@jR7>{e2qa0E5(XZfkBEjr3!M}!POoWTb66340sPTV^E#{ zO%K`7jMan&x6FpOOovxYhF6V;SB-{O4To0^hFA56SM`Qh{|v9{4zKDAuWApkXbrDu z4zFkouc!~Ns12{E4zH*TuP7&XmtQ);#Byw!bZW-d>$A;HEON1`++Ao+-q|xx&n$9@ zsN7L$P2M>$PcJNTsi@ppXieTaGEc88av7`KReVRBn8!C3shm~nFTNwr%;P(YRKY6s zRN9j_E}I$p~=bPh8=mne?{7+bo<+Q;l{A4sZ<_9zgl zrUn)~sR%*)p;mg@pYRtYJ(@G7+x1(G6e~5X@;JCUZTU5-<%*x9z zz?U^HR9Sey7tzfbsT3qHw4HaHJHIwxBvzp?zS2A7a0zzRmAdMm5b~DK3$*iqm{m&v zIn2-acd{c`8T-P6S&{qc;4KaTp@N-~`FY_jjsR&vE$(ziVT3;bpLlFqlO!}&$TIti zge?o{qe8N4`OYDAilZcNcgAzT@xe1mfvj*|vL_T0`&N)ug@KCDROA>)$&}VcmenRI zn!}gWCaRdDs+p7KO+!eVla@?V{G1jSFDUShAY@xvzQ1g= zbX>l)vUY)#cmcGMd8~>#u9`V*-ZX}^Ic>=_`_F0B|9*Q6rGNI(=TECD73gReWQ0re zP!(k4OSd#i^I!vP?6I9_e{9KQe%60G2rcyKc4xFSkK{l5TmV!m;od&so`vQtC;mQ` z=4^-N%=o`O{~2+@fAlQ_D070Y2gCY-&D-m`+m(xlUOz$2!FCqYi}g9=s0m5M1(e6_ z`Mj*bnh-_MvFx=Yzd9Bo=mGcOJ#r0q7+(c(IjvrENox2H$_vW54Mhtr`8ESynmyu= z*^$K_!bZzRo(bKfJtd%|<>4>9d`agA(?Cg?B?fo&tOS7ml$+TfC5zHSAeJpM5zxiM6e;^_h2}c4|O=WK5{ag0wk3V zv-m@}&pV~WBAn-E^~@bB{m~Y7IaCVyxu+y^&^}h)sn=*hr6BQo=$yb52J$M1+2&Dx z=Ut9O>sURGB;U}oN#VvttjPRTAtt4(Hqd(e=TLDcQE5vk-D#JVKkHjb%9odCB8d*n zqvB4Z(w0$@2Oo%DQVBvHe-Buc1gn6{&T&@~w9@9Y2j;Vnb^oP9la)KXBkaCybl1B( z^G4Wntswqy??#O{4S#>HjE?O}BZ}8WCDQA!tF%XIw~c05C9Fen+0^a(+(YzfQR_D6 z^v(1LbXF5PnDuDv^yR<*Wm2 z9iF%~SZ*Su(un5l^fOIu;k^61m!@)F*X*>eh1FuvPuvhCt)Me6n?R7A2>(U!qPWpS zxnFCAsL*+ouEJ4Ti@-C`koG$~If+`uz%x<^x%)|SHebT!OpKR}k2$Ry?(3efs#{+j znAK`8>gvQZT&T(z4ceuq*I;j$q#!P4Hf9h*(lW8{^WphLV}b#Q1Iv@^sfP6$QMoz0&E$xhlK(5Pg+V zv5vWo@R5rfzdqj6in^kJv!EGq)rwLUCm4WacJoa*6pA3I0)8b){$QKjb_rD=BnCU{NOwPU@05J*hQ-@Z z@^umLI;9tZ*cD#E*v}KH>?;E!00UQP|MU&(-l6#1nMAm=M!N^)x$DH4HV+Vh?GwTT zIAUpcZg$SXE8EVOt{*8ySnG+WDCsWb zLy*Y5xI%m=S1421?rcWpCR*(7_TEExurA;z@L%1$H|7M|eF@tPwATgIzN z4-t6$JGuRBT*2;6p)hwEpm{hG-Ca3Wx*YcUQPK8Q>(A!fn~JQ-xtbE(c)nlEN{y~a zjRcwMfG2i5?fSIYRjjsn9=2eajp5V7}G=7B|fUl5Y7LJsH2&O1ryPTr}&V_w?Av+dO$KXxBOiQE`-5 zZu928J4J?^udsKa=gJ{laG#cNI8*eXZ<=Rqi=|z)@s)h|);=cXt33^sBkM0HS;d5c zC+@#aDnv~74GS8AwCg-Z9|?sd94shi^Fh)M3L0D7zf&^QgA6QC6hoZkj*{8hX5Kt* zyRbyhB(1P4klneF8Z%pT9{$hs2ON&Uzz(sbxV?}VM#K2Er00z%;Ev^A0eW|R&zEJL zo6xh_Eo4Y0X{cg3>T1!wPX(hD4#EASdy@`K&Y)sOr*7Q94jIIh=^U;%N1c~ z++dX}oF~K{lj$6!U#GJX7RwcShrwW#JiH~)enP+BR2RL%N1M;nq*YMI*xONS3{xQ1 zwNh?9p|YP+V(;p4PSJWQ_qm)w#xW)8_DdS!SVO>w1-I+8eaL#x-X zciREBamO#m-k;B& zCMR5CmE>fT;2Ku4p{$t#**S%AL2}@~Pl^XmibqcNL*>B7er5AVJ^~}1g%&SI4cDi{ znv!Gc&d~4W>4N&^k9>tjx=k%@JrMfs$KP`CUghx6A}nNiW|GXWqP#t!--3~^;EXw= z06$5$vBi5?!$VE6kSCZ(G7Us|0lrA&D?HL|u3>y$@m|O9&;x)5up0i+{E)9eNVmbo zdtt*vF{$EQu#g#%B$?uSMl$^~|)6Bs5^CueZ+o4yx)8-*Fw_VuUoac2#Y9$m- z2d_npl|8Sg*F7)x>_!@2*f7{LRYAuGFN?{Gt^Y*_boB?t?t9wc{f21!2Zi{HZSEVv zPrf=oU00Q1_ththu!-bLqwMxiJgf1*r-}}maT+$7Y65C6X9PYEp{bGIR>@)B%naF^*V*ZfTB8n!nc z`22mpa%O1YsqaaPXG_-&r=+Z%f)HCI__u(Rt)!)SDs z@$!+?T*2Agg)QkHDv>#Fk#09sXf0%NUv{@B02iO4C>Szh!%z`ozb4l{j>Go{N!md~vI zCeH6xxR>HiUP2}sJ*aBM-nye%w{#h4xo6VD^K&i@{-ROU?su{8cahxmcM;E6R4OR6 z!o5;mLbXM$oV09}c0OkA4EZ(1zp?dL3o%&3>Be*L$j{`3va zu*6koU}pbc`c6LY8}t7-do%f~`~N)cxWq4STTd%nPOX{9vaglq17ChE)b5lnSuWe7 zpM5%OEWJ;4(Khyw<%w*rke|#{Q!~CASTRhP9)6D66LllTJ}la(K};(;nW?IXbi2FP z?)D;ivenbY6A)1Sn87T0PT~KM&=w?g0gf01KQ+Lt3e_eeaPbQr0KXPO9Q=mI9GbS3i>XMBGG z*U~3F3Dtnatfbp2t~I8whEwo)>|!oG$+A&vOg74f9G@3!7vbaB$z6J87ka9g?a$xY z%0H4!m7j6i_^&w8B!&Ih&<2J5c+seY{iZ2`XEoq+mIT4bL6M7tGp!a8^K%3-V0Lo^ zkzr~}yZAT;89vQs^;>D`r#)0BZv~GNnSq$|1hDb>*Yd(xx_Qlj39*_eM>wg<&X?c- zD@6d6i2JIL5|tt&%|V4)fJuG=DNG&J_~ce(p@hk5g(rD#)mJvOm7I;hi5KBpGHOQHx2RS#co{J4> z2#PdtU%>>-0f7NAutj?A0VVkkQ8QDvt1r$#P7rV#=qzVEE!-h~cK?(D1|O3e*Ci4c zRtVb@woSSt8pLg4fc>%@)}$6fe+r|4pe+{`Wt94Er_LUc@UDJ3@V05AeYp4^*#O~> zECCY;+_tB0Wz_&54(Jlu)wg1PU|n4-!2dm~T8$I#kRH--z~YamT`CMO-8QNQuppxQo>Cs{CvY?m*HmT5#1+ewKN9CwyeS|w-t1!cO zwM|2RjC)*hREA}LS@GxO*x(|yH?!@qoU5|aEu?8qD&bzf&@7?}$D2rOmN1GIwS)XE=@CFh~A!cNzgx_LpXoZ@z!3T-^@ zLF{3-Z7y)V%Y2L1QO{{&RZI4e5D|U+<{lgzynv6Hs|Xw?F$w>N&lazuwgLzZLctpR z_*0WdVq*ipQ}W~#`Uj*WS;4n|dyi(qZ2WDxPDeHhZvejSK#k5b)@Cf~>%(JVFBB1( zZ#a|?Lhz(QxpOvIY(PZxMpnwzuti0~54!6L&r40D5;ejb$##+f4vvmj_xr2+nK9bL zG}DjQr?-I>*N&E_yM>yMSI>u|^ZTN-g^iA8xBK@UAAz>F$DOl14*pf|#S5E{YDS)` z=9}?}ECCZ&7mwGso{12`3t%%CpsRXEOHwGD;7KK<#wCWdaH3i!Fd3m}*#~hpe|-Ze zOBi9&by+!5C`+vFM1TF}(=zzV9gJW*X(4s%m4=y1?#x`Id?(x_uOlKTA2MSp;zhao zneIHqKsp0Bw(d)vBn*uCjN%A>6k!9Tbdc&(;UvdJ*JGhgCNL@C?0vydJxKaMsHFl( zqS1+IY2vRy#G?~m3lR5!gp;$3>4QRn1DOOyRAG=op$xnem8nJHhytH9WDX_|4lWOb zl9a-aFZ_a!G)gc?Ah5bm%e6Pj*XjVH#%RcOaRi|pYb?GeK_G26R44Ew0w%bq(q2si zCTBR)|2@)9b^nfcDtSS`!AyJ&(>jMUj*sm11)Vb1wrw2(pT{GlTiJY(_V@e$OssK? zLt%s`3S4S3KVm@m9qWGcUI9ea?E=7Ac^c~aWkBH!+-|csi74*uy@l`SL;3j-C2Rz*IzfZ1_I-&tQx}~MsUYXx#tykSC_x=$qpnO=2tQ9qltBeF?i-(a&uJ@0P{eO%rHH$? z@8)?CtuN0r9Z$pCoAR^@sMc|WRs)1go(Tsbc0mgidOX5 zwI57sm6mUU%^t`H-|3^aU%3ic;f{`?ER(Ajl(E5W`Ople*DXBfOwTU)tz_H?-B+rD z+&uEIks2=U)jB>-2wj&RMlSR!jS+>D>p2*N550IBn9OaJ0wzZ&8kh`iHAU=>iJ@xl z=6?)K8V1vk&ZVIf-_8cpf1WMczH!UK%pJYm>G%-qk?-AjzdR6#un1!Sn}~LgTF*3S zFzsYLIJwh!EKI4n%ark)>1}FW%W5~@)?Py}+hDW*X>;?#HkDKD0eX-_ zHoa`+(|#Jo_?$KEgDmR7u?yH!;de*pjk!OzGm1YpU9Ug3w1hvl>gnIM7nQT$Hhka9 z-?saoe{6cbUcYTo+J9^%D1U4s*?(*rYrk!k0gzUxlqVCzU{ShNMU`Y0wAHBmuUWP`Q(Xe3fL(}m#h2CY_X>D2>rNa$=phsN@Z zc47dKBFK6N-Pw1Bk(?@dV%H$Qq+8LXy=>a}=m$nvzAMIxmEQ&kN7m|j3g)0!0ogi zI~kYH@bhsSET64JU|N9dyE`P1eDw1UeEQ5{NjRU#aa2RJT)@F|L`p%@0FQc6jEvVx zk<{B2!t%dNlz016+BQG*wem`xCA`o_5dJ6)bA$4BgE6__P?X8K8w|F(o;Ro{;CoGOJ<+*yo2~7u^|Wp)FK&y%~3xI*mPW_LxCIjXm(~unisp=ebo#4QU&$ zTlHlFI%pW%^_4FStM8|cVco*3-qssI8xg!)c~xi~JWq#)?9CY>x8!n)oO1MYoOLQY zvq}-lhLCIG=fwdw91R!xBuWf-l1;Ou1qZoRDd&^L7hg$q8SlQe&F<6zDjDbHg@B5s z`msij(gY4KX3&|F1eq5mYSW0)gifOS`F0bPRS{3hVN3F0cA`5(-7G8BgqQ)(nxEiC zpD01Y>`h}q=71Xm%*xUD))RxtuOaYgSq5LL={v8jWBA)I`k$HC}PHU-p=&2<cN1hsk{7rGTj8fRreJ3qFHLr+~$?K$97xNDh-l$4e1W z#|bJ?_~m~FkxBuJ{l5yy@ls6Gar#Py=0V5gS7OycFqfAwO9DhBh#m!||l)tMO`X0c`2-v=M@5~s8Fd76@8i*Wp2d{^~!*dkqifEPH zy?}W(R%!qfo?FgON^Gv&Q@+j4qdg+LCuf=QC=SZl%T5*M<|Nrk$Pda`i*2=M0&->9 zKlywrAlH-mlb_=Vh@v%Jb1cRtn_~&Po4S9 zrY86gDEtGG|08_<14*``&uj-TX5*|=+Ccw+;6Fh0KLXPq5KZx3)voYQ5CAUH%;8F# z_(n8N+eA(M*`rp zL$C!AS$zpid^ZgNAkrBZY)unJklJsy@=#G*N1S5SvT@ z89#)SCtPk8j;O$=P;ZN9m2skybfH@90JA2DDF^u2bR06SPoY1pkaU)antusRTL0gh9OyOpdnog?@tgdq|D8&Hh`6285LVc}RwS3I>Ed=qE!0;{@Ixgu9A( zgL#~3686MV+rk8WOT0f|-Oxy{slay?VFvTiq9v#Wz0`c4e`b5i2|cCzUvq(%T)-%8 zpvUUbkaeg?dY2&G=@1{c2s`Km?KZ;B!w`vi)H3-kT1{GhxlmMeC zfl}o|D1<)${O!046+T7|nk9u%-1zOtXIGN6&W~P}{5@*cwQtt^N68G@jjYKJfL_|( zAU#KgSSk*!5|K*ZU8@jCoeO0sh}`c>5)Lee1-jgXH96kr>%stm77s^7g{`8%Qk-q1 zAT*TC{t2S4gt=HYLMVtO0LC~NZ5WO^9$SfuHUBD-IKmaqywyl>1Z;)VLw#{+!yY;T&H8u0%cPZ zN@yZH6hTfhUpq;lt!SWbV&Fg#5U3C^Bm`(OJQPVzvS>R=qOEAbZel-x038B`f&fi| zha$>J7HTJnv=vR%O)L~B;ty~j|1S%qcqrnWWV0XA1SEg5a63t?t?2CT9F@SHdqGYs z*6uA3Bm_)fyT(^SojPfb|KhHh_4mh}r)*a^QTjI?Dw$rfhF=lpLHoSVbaxp4Wf z&r#CfS2{0@*{X#-$_!X6fW-<}Y=HF%u-LUyvTX}*mKscS^<;}~y57xBJv(mB`ebYH zv`5p@${5FNcItZqw#H9JwxbMIntayAZBmbv@slUL7Y@d1ya~OLm7ujeQYenurE|P$16TsPFbgMVu z!7-L)jS;S$gyi6hS=MGT1Qr;~QDU&?sMfXT%(0VMi_EjfJL3MDOP_xE zmlB-keFqjAWu*@orYf=DW-JXElJ@5yF#nO_Xy0r~=0+!O2D^Urd@N*rw(&+6G*Xc8 zVQjUCucFaY-`n_669vU7?uS3CgJ35tTCx~G>x<@A`UaBwB7bkcM@|G1CwnfHPzS+^ zIkbfPo5=7t@h8%6F?BoSH`{;sqYZie?lhAl_#;LCkxX{}NK#IJBnbRJ68|5`v<(@j zGr3oJ9SUAwW&6n{P~1+tp0{8+Hu*mNLIDjomr7Mku{<$XonEY3^T*dZv_1=J>8hL= zcDixvZ?P-t^bOUTHimVp{T59TUmVixM2f7{15J=aVoClp&fE~7!5GW&F*(D&G@+Fb z7wCUs055Z(R!@-WD#dGW;1UPBlH&d3$oW?s66{xjZHBQfX7num1tJeLA>=@M=|V{O za(_iLB^Mp=<2GF6k=;9H4kfm%vPHc~==CE%2+{-`!x&<&e`T6W#^oLd;_ zcCd2)8)b6ftwgXuEfm191pk#tG{Sz`+SlnGBkmrf=^oSkclft;rY)0rJ67pNZ1UA` z6dQ49j=aD$#qKext$nn>G-V_kIiW@_@V^q1bURkLMr_K}a8#RqXpWu0H2dx`&i{?_ zK3HHHD&SaxjaYX1-Db4pX;k)uVTz(_y`guA75L`GgG4I9{3pVRM~)YXf*=7Mk{8&c*u6!yWfyPvzfy+Yq#_3#3n)?v zIl5<7VOFY4@gS>OUI7%F3BLFzOR zX$`!8Abn5$UxDZM7o_9;1+AO)-@2@?{8zTY8~R{v!WK#}DwXMVi!@v1>b_-ITwRZE z?`6m;tS^E6J&?!9s-aXjlhL@y6Kes}+su8@2wWSYsFF7KC0PuIH2Gv^4iu0+=2q_q%{`7Q)_qQQR&#en9{5YmqMBM{F5d$Q zNMiQR#IV#or7vkB5RAkXw@#)nW6tG78+m7(@m@K;CpE^*}mnT6~!*fKErQq>*Ud2WHf*FAI%c-8qzp2+*)qw2D8ioyIcHDOfg$q=KqezrB2P_3paqpOkr&6s{OLB_UH z`^bH-dpd8V5p)DId+(@v54!qwiacM9UvY%?LiySvawlP~O$}p4SEI$;C znBXV(J^h8?$c^C$>({3|x(V7W@Ph=`MYm7Rx5FOntq$@XYUfY)!+c%3O{TN74`}XA zJxhLj;I*y1^{qsS0@9gn*VMtfZnnj0tPYi}1B0{|LRZ5cXsr&2Eo$fX0Am%w8ljuM z8wC9Yfz~>4qJVowFKt%GQG)9yk2`ey%2vv8+KZIyVGoPe>rB|n)wqiUk9xl5jEBx^ zFwORFR!<;+M^Wak`?RZG*-E%kRw>}V*Dg@Gy1Adg)70uP603GTb~P+ZMX+XJukQw{ z+3soegaWWwPU=358diG72CC`NKMZ@7>NXM2(7F=1?_uy%uFC8uq!seEvYKsN8*~di zn;*betiRq{vg&ygzP>+?UEG}vr6t{!4V@&F+0duSTl*$Zo6)wso4)OB8#;Qm9bfLA zz5RYGJgrMluifowWtTC{RnIf+lk1v?>PF?p&Hi4?LR?4N%DoxB#rwhBfXU^|x;?`B za#x=dyDdM1X#+<v@v)@VTa`6PEuoV%J+p^t(voy9nA_2-ORs;vM1F zRUarzmfpeW4R#U#6*z1g*8Ik?=pA;E*cCVp0BIVFK8PotpdL8*7R*}&=O%}JozAk$ zWjyFO{5L@)(=nL$0M2ck3*!`^vF>u24myrUAEXmcaQ)Ge;M^FnueDirn|6ywS$CU^ z1|5f@4`PWY$VD<`f_YuBuU7%nl87gC0E7!k`#+T!S$5rGh$q6}+;oiwZ%9NkF}c(| z{!%s>ykQZ^Ov1iy9gRLP21u;CZYevtnt#uTC&~a##)CIBBAIG{CcwGB1oKutPuzr+ zJ-r^kxHQaesI|zwS}Y9Ny_^-ixp_ez98+gUx!)L>@;5wHsgIj-WI^<__^j)45WcrP z4p=>By~(}1)}0;SpBJ9(+1;gW9S&A|v4#xH)OoBj*?B&s+5ap&iTKpBrk2FkF7TOM zpwqs+Vz{g7%|U8y^9?Gm!HnO_+$J&X`Hb;G4?fs~kumHAl-|d8Cw-iMEHP}qnJ;uK zhv41FYrE);((x;eM8{Im+K0wpl8N4+4J{{>UOU73{3f=)f!&Wj}u!)h@QEHB7v2x?`IxaA{470U`KrZCw#(F z9)Z6epuSun_qRzbZmciKL#_CPzRxT>>?4kTPxy1@G~<59SGXm-k+3^bZ(Xa*zOG+xvlPM=Jh9-cnc&j2>R7CP4 z>j#xPO>Soj-_C4*mKHyLoFQe*eZ>^>p3~>=QPfk+Ye&fp{DonWZtmN8fIV(|C5kB9 z=PFGuCj4M=sT^t!=5}MLuvp1>^+? z@!yyhJ@MB!#**f2$EU0bH{4tAE-zi0=_YOidOlXiY>F1ve2QQ1P7^U^;tUabm_u%p zMJzs}!_+n z$^3XTGluRCqXwM&(FAdHo?F-XYLrc!%ygcTnEYh0guy|GN8KStTRoYh;`xc0D^-`o zI*-wGo>&K&zb*~bAEOyOB{BHnUb%DqjsYbH?ox9BfuD zY!(S@7R>*TMDN?uv>LtJ)N$3NdGn?DugjzVCdoucs}4N0q@wdoW$;X;^W^@2NVdpD z^@Mi8jk>!{54%kVyG{RJ5_eVW-d_ckfZrc>^CkAL%as2*2`Y-?Pum@;HQ1_g+Nv?y zs`>ww@Fk!q(%fo7!)6u0W|6~Y!T;w(kF)M}G#2%wyZhj<`(Uv9;D465m$K&a9^GMM z@JnFwOJMM0{yk}DlI50Rks`$4m&fFn$Kd}TCGAxNlY73U5NaG*-L3`|@{3J(j`fWf z2rJIld00Gh?`V$KB%UnH!07Em3vN{4Y074;^F^pT8*)FS#CX0blPXZ^f7tY@3I|Ab z-iZit$NNVWq^=C@%{kl~;|SL{;#j-X2P0MEjTbc}WfcYNbZu_xTq$3@Ty-ge9vAzl zaCHO(?6~$_Am%k6V3o0cr)_;Mi@B7?eJo@sMGw0cVvOlQxqmtGL`RM+M*YPRE@TS=FB+qx((x2RQ`NM}>l*(FZ=WM0R9KScWbMwRwF1Bc@m7=TgM;a() z>atRI#Hme|`SImmoA;|AF}nkQIn<9p7(8S{)aeomv2>CYFH%3$9~3H@KSaaEzn*kM z;BFHy8anh18MmKI`NSJQaBy@Q&)+gk^> zrPDqR$glUxX+n2DEpAZVZ0~%(b}Y1=7qsyu%CxWB@O1{8Ed~L(+@|YH@Q)^j9gW>u zaA9Y);(t*-7%o{6AYcu6F+BOcDj=CTfg$WF+V)8Jh}z9I7q^-VrY)^1H}r$r0F!)I ziN!%${Iis^qLQ|>rkqq5^$~+~s*KbSH8nH&jM$JJbxu0U59%cb=|mam-FU&zL(Ym0 z+R{PkO05fHrh0`pLwk33o`#NyA-Q|X`wx9AUBvc^Pu>pH&^%`6M+du zFNHuh&bnOT9)qB~YXdw}y*&h)p1*O~+6XV=h1y4rWiRuv3yAT0V0F}Z&0Qxadle`yH?HEeD5#% zM=SKKimx?wD^?Xw_!dnOHxUOU`F~P_bbtDPO@Tx44lyiGY+TEDZqF?-x|2E zPP)b#BM>;-EJuv&lplJ8Bqxw8J~VKJa!Z{|K@voa?3EvSf&^F? zg@}ZCm6F*ghANN@CS|FP4p%)BUU&i`$FxBy&Yb710uy%WTeq= zZ#CF#_)m62Qht_}SMi?=?H72t zdfppBg;+N&FPK;p@(o0x(=E+EI?~|vP4c2mdVbUpYg?5Sfx6WAwOZL_rZ2t0il@T& z-|)07DV$kRnXtFA;cBMCGmZw^n2NC0Y~?R0Y+G>}uz%*k4Nifl9fSB$V-U1db!Me* z!XD0sOEeygY|>L#vz5FwIciBn~#BGl}dxVqg;qkn2d%Wd%VA3ERNqEQ zAai*jd|6t=^BIQart>S)6|7t zi-4{us1{qamxtr->uJkm4}HvCGifd(54 zF?_Jq2HMz)tV-05=yRdE<&CURJ6~QT)(5+0Mi1x3oI+kO#HZeD2m*`8G6ll`976?6 z-q}7q-{%wOr=rveyJDYE4ZS;GcfYwPceTZR&#xyl=^GNqhanZH!&`u-*pcUx_2tS) z*aijT1mieG-c6F}m)X5rkre)-^z~$ww~F_JOAB{L)?|5sQH+rz&+CCxlVR$iYs6VR$i-jAJY3+_jQ5aTxujfI*gz<>Cb z7X0vy_NS+_v^-AsWi*$SvdDW8{bwW^FQ^RuKq*b4-UJwM&^9s=y|>@^#|e%VO4 z-c_H=dW!KpGe(jf%ubCKVmC-`k&`czHz~iMue3nTGP1TID>^bgkvQ?bKSC$RXu|Bm z%86$Ubak&o7@Zl_f*|dKt6(`^z3FhZZ}v)f+HIDZ25&*cfdy#_wI1D%G2%2z`IT%Y_(o6mY{$?eU|Ue1Qk z$Njf+5l3aXGin@#{}8@BAFCdfX%$r3B>a0)RB4mZ-t7E*Jpb>B?DH}EQP~P$r#Ju! z9&!06`I`dRDy_ZQ`uVv2?_{gg^Pi->S?Brqw+FCk(ti-+Z`1T%&MmuhUd}hr4jUYo zmd;7n=3Wnd`vwnhi9R=!C4{=x?#^nFfIshg-TIZ*O_$54u$ej7FJ+jE>vBmPHGK|u zGz-UqZ!2j_FHd`~T6Z@CCri8D{4cy(bvU-0Wt<=8!w09a`c|F3 z`r6dmkv^6)d4(->E7CU_I{YhC{&i^R`vd=l)d>>^?SYB2a<&*VHxEDUB~}ea=@6^e z041r<>5WD77-^+x!7_TEhN{K+(|GCxtEF9d5C=Eqr@@^EQa%Stv2_j5!Q%L;_l`js zkTN?kiA}C@r_AD=SAu9Hx-g1j z{e#M(r?^m1l6Thw;l9j!?lz?=*_?k{Ap}#aa;B9hWXC~wpYw~5D;>}%nlLW8Xia}r zuAZH?3H}_}b1VGRdb^xz_4Ulivrb#ga^l#`5KJXwZSy+0rm$F!XP-P<5U zTt-a4KMO4zwZe(gc2UXXkTM=Y=ZzkfNdFbYs3v3#1e6X#n0-eI&dD9e=7Mg2gR$iN zb21k0fYK{nl6dZ(IBCQt`pHhUI-T>1oK{`qlXc6}h0V>#woOgP8viLKhb>1#!yYE% z$JH{UjZMSHdfU>QuJ_gZfwgPLiudAqLx(j%rhw0TQeV-@zW2k)n@Pim*VXo1*g{xZ z$eWGFgU!|G3gJ5Aj8erk&E3Y6_x;EFZBbIC_pxsKw>g)iz7ZGunzj|&b!!*c3NF#o zkJ4x6kFt=rw3ddnzL2pHn+CUq5;^5T`8o9PXKAL`C_Koo`Pid*DP6qFfRNV3`;0wm z_{c5JL6Db2xQ;u=CeCbl5LM)NcHtva*+O{Z^LSjD^?tpzpm)D^=5zmGThD`A?eKkp z1QRhKOj(TtGvqGd9O@zW%3;W65-~|4KW?v!I~Ip3l9X*M923&izIf|;ayJ_U*uHt9 z61ck83_W-mD0rrzXoSMj`aM`KFHYVyJisMo~oAX@()mBE7O+p?Y%9`o36l z@$1=|c7Uy#!UlmLS86?$e44D;QX=In8WS~+G&sotWx=(mun`gSh?QSMvP40{xa(L z?qTpA_k|N@^$;dr9)bQFaq=KlJ$oDbULB_lz38T z+cfE%c11Ko&S0#Msdz%P;lMmFh$~lO5LCDLSk&iDfdm@^sp2KH=9j9!tq*S3cpGwKffS+48X9CN4a zq#>)G$ekmY=Dys1?&t%JreQ^ za*Y+m<)v}_fW{n~;|3>kll4=bpvU5KNYiHpP{ao(zJBncn4dT5pi!328FHSy54xJf ziHO@Am{HCX$-*mC<$@%$3WTiPvH@(fM4A2I>Rv8-QfloXcWv0fieV43e!CO7$U`n5 z^%WPT9dy6GGI3soMQrvFiosvYqd`7F{t!C*$^aeHr_8Q;3C_}d0apAblhTwZkOL+z zMiJu#v3jwGVkz9u#J-}ra#%>6bZr20xdcaBjgQPrx@)C#Yq-t^B#STlBny=H%JEZNA6Bp+ z2AE1Xa<-1GU!VS0nD?z3QVal0K(oJT?UNJSHl|k$C1Jy$(2gqFYPalx$I6j8hPl~} z6KCDm&}(|wn4tzyQL-}2K~gHxBbBnT2D&lFr^KMa11%B~l;l^chU_K>!j-(-nM&Sr zvV+<6OmQFF&Wq-K{8%*tgz^)?6wdvL=>yZKK_5Y|GyYO$TZJFMEC-qi!~?+Sl5f%A z--n~YhvJE0W9daO$kc-AB-`OMqJ^=45!nM)AB(6E$rnKJ|D}fL9F!XWlV2PBhBXfMRh}e*+<*lv`j4_g6a1io(7fW3NJk#H8jK3 z%B3%P4CNz$keLF}N{thk0Rf%0e%&kl%v^z5i@PZqBe-5T1D7UHNUCth1RU)w6CPJd^ zSCONdTii{Cfups<)InmH!3tNzIZsdA0%lRk4Tb++?!d5^!Jcpu-@!FJCHZR;_;$sy zKjOl^`e@T03a=|(B?D5%nlI7xVl^g%JRI?1_fAvE z(rgd~kct`D>QdcIIa<=x?&IKT`hKTS$%P&ey~%J9 zN;R7JV(j1>Me9k2q*s0^rY@L^7hBwVmQm3UMyC8^M!F`EHf)Yf*RdZ(u$;?VTT&b> zq!b~cyO+~CtZHFZP*zwM;`h&u_^L81zwGMj=&G*F?uf?a8qScnxTIup znO|B}wz$l*$a-=^X*RB;_FopLQ7{aB`cqV(gQ}39r6UZYrdwX0TJxtz=hgjkfUc9g zbW?+gPPIb0u00xM9Rh~8V==a76fsONSRy{qNf&e)#GlBy0h z*SU#Yqdyt5(TODnWtAMqDp>ja*lcBG;u~-dC$MZpa1uh6u7R-9o1J1oXsL%zeCMTD zLRI|T2TxfOkJ$SnumYPm|X-kC@s=aovu0P*%2TQ}bomW548#^jDEvmMz zH?=BgwJo+g&wOt03y!@VZk-=9-$&oI-{7`BTh_EMojZR=$=7;=7yIM#o|yWQ z*{dR(!{gr5;pW@C)9u;qad_0pw&;YzBDA#U{#dW;efPK)Ic3^kK~&{IS7pPiGO^RG zf6hr-(8z#UNN}%?e*j@bjdBQKsKUyHP=S-D0k1?#;Cxm%@9Z&xl$Q|cz^a6i2PIJ{ z6-`{N;4%0I3ZSC6KY#}1ym(nF@R5ytibVo0V6C|HDgzdrDM~*?k=~eB>LBq9F+En` zbA_+-B=cqg&+~ckR`cfP^~&PmxmPun&ehWyF4qZeey-k$8SYFi&1qka6XB51yN}7p zKHg81ynWGbgjqzqRPWv^jUsTW&cSe+?IDRc+$q3nQjL{<-o^T4F!0`@$M^vTJz$fZRHQ7&4MA(x30WAf$46t{9^fJ@xVn;zcMyju`P}zclewB`87m z7{L_%&52#=rxZ;Md|yHYe|cys!!#gi@~?vg2^^Vno2X^~r1J!k4?So>jvO4#-Xwg_ z1XhpL#km-=W!Ao`ZxI1J9r~t&@CF_Z`Id({3j=v3nEuhX6umT-b;tn>M=2}@M=HL; z0Qk~ylYp%##Xx+d-BJl^JW%sr!EU^x^|s{X@DN)*a{hW&=LkW{$xXgvKc<~FO7D7ps_st&9U=1A%5n`jk*oD#SBFaMy#q{sD&s2e55_^AZ1<>-vnv(;9 z{%XZXWdVw%Ut-#8VqboXsnJT{Mda^#OIoE0%bbt8fOD!~@AzWR_&Q=-B|`e$#G3$R zHW0lvx3?Ey@4Io21j{LfPeL++g)I3^l)y^CXs!%a z#tv+fioN7%?;K_+1cG}7xjgXe6^t^v4pE|ZxkSDFEIi0uN|L_&9YJkzKVpC~m~&mD z^kqZ+#T^xfx4y~KqrVR`$!K4U5uxe2ntkeFG#TS){9^DR>!P;?Fp`gRovY-w+HiGB ziE}Zh>2f_0wwa8k^Vuny8AeQSO-%GnY(j){oUV*eQ-BP`GD5t=#9+wE#VL;(bvo{# zPMT-iGW8wkSyyd{+Pv4hn_=*+>U*a*%@cdxq5{wN(;cz#{dC)rfp>Pzu+`V{+2r!M z$;0>cOc;&#WR@&KJ0^to4Q31H*VYl!W4@L<)1&7iH5!W(2c`$94`vKC>7gNmC=nme zZ81dlSxYrjcFWUnly=M?T8wDz*grKG(O)gij;uBw?DiZmUv}q=aH>273~zO5L~O}c z4QB|!W1;=88^AAXFrQ&Shl2ny1MMb;2OgR9=K*g9e4E{Ca(E*Y9HzSIeM$ks6%w$W z{TCJk>1X^etV1$h$7n708sZ@(o$_EfyyFnZ9|$))C6J^xcuyKwZb6}Y#83uqO?yE5xc-Uu(0GF7B%Ya zgD`+5S)-pArp?aw2<6C8GkBISnk#Ca@?9RcgXQooUY6g#)AL;bE4KVy5Lqo5Tdo6+ zYOLb`cq~di)Iw*y-Qrwu?#-r5l&D9(iE``MB>A!|x9uyp^(p;?Q~rGyJn=)@}FEBL(|Tx+a@|BzERxN{8uH zyFxu-l+7y76Fa!1?|EkH7+~tpDJ?omI=^#xfCWw_=-dAYpM z`u4>O>4)gs4=~P*6u_H2v(>sJ2~nL3=cATR?0jx883=~VSqV8-AqcF8jP;1-@U4eV z^oXLG;^PFd5sISC@*_JCnbf`R`rOm0;_o;qy$kjy_a{t{UhYtCcrE&ndGz6+Mu zC6s;~UJ$a45FMR>5QFoZM6Knbz|E(^&8PFe8V)_u6{ir3M(drviY#@^7vd~+$6gOt zhe>1*4%`0bB6M||Zbh9-3Mknnx)m4Bm*{wqEJz7dWdqg2J^A8@Ep*A{&S=WhhYF|^ zOH%|h%}XqlDF0fR4~5$V^!&4E9A@f_4Z-iPM_IRyZ4=Gwm9>LIldvuhfU@L*XAk{~ z^GaxEtrxLh($dW3>|zR-pH4{290>dF)jn&*5jczOm%nDxCk=86Q0$153Cb4E@1Ha+ zEtBo1?ib25KWMmzOB=ir7UU472c^VLx7|+zcr}=Ey_?_lX5D82b zhA81`|8=}CiWnZ;$$si2MD}%66p|b?nZzYQRvW-BXFVoQET{z;Z;cEI-^5v|@bdMW zl5E~d?pHvXvf&u|3OSq5Iw4@dv5d#UZg4rl#9v}u6EaQSkg9=`B0>f{Z0*$qhD-V# zNkg{t%$<{8u6;b6C9@|}P6G88a$bN`5^SaUAE@7e0Z?-BAKa_Y_|b&kvuHYg_d%Rl z(@aousI6h=3}1Pfv{jvt0o5L!F!_}RTWc!RZ!Xyozo8$MVDcNoZyvQ`s$J$S0Dy+z zIddJv_w5OU3z2QOjH*DNt_&rZL{JK&>%$iT0Rw1H#IW%uHl)Dd>_{O(W?DnOZk>8ap%Iyo6=MQ-*2!^i|fsOW*aX7x3Gg}+Xbi>Pw z);>mB>CYTs7X(}S?>0HZ4K$yPNrI=@|NJ@y1^Niic_{HIry6MZBP? zAM%i-uHQzKtZE%XY&55)%Ea7Gn{5>gK-j9u2W#JdU=s+qREKN>8uEit6r3Ln?80{K zGwT70%90%HZ2VAxL%&k>lTYyxe}b{Prkcg8xKy;%-Mr|P-PmCuTMnuPC=AFz7rt+_ z@p}T4K%?)@=B&7|Sv~?>7TnsV{u!+fW;B5}{)P!Ls0%URH-6wGI@!ZE0%}3Z43q-N zNQ^c@R3v3_%+;r|!EkVgx8eon`h-oar0r&*JU{AjN%oq~2?U)MW>*I(xbuG~AixT>Z%E+;2ja5~?2oK@4) zFTS|jxIHd>udgdUA8&O(p1zyDYudcN-=C#RttXccdkZI9d#}z<|6X@iHclMq``+n4 zIqsU`m3uo#H}|^xeD~^lKin^6UQM9YW?1{&HK;fLyc}E)Ue`HLlLp=RUUb^Z;um!i zbezE-t&M1HVI`&+nPU?J*J~^=J8QE!_qbn2&#F<4MF)mqq|tPhe6T?af%~UrIyc(n zs^d5KPIDUS*_(I^eCy|EjZJ1-RF&uMnCn?h1 zVe8}9bfzX>FL?unmNUJFmMizhahBo{irmRt+!ZG|nu(f21Z72__m3#qjtZ$7n>rG) zAnMQFSQUJylb6%>8*6#^M@%g_#cK%APp$b`*%Q ze&USn{$9g+oY^kZ0j)n({ViT8a(n)iP4?uoXc)B3SzA8s-1BawD;I`pAE7hm_GUOL z0NFn}AW>0ap(;(@gtQ)0D#(=YEb-*w?W-nY&~TvVo?Qn7q5`Wp{g@zJQ;vX~Kb4^F z;S8<(>)p^($JdIkY{kbv4{MUDY$?osob(U#e3%HIP^Hc`*x$=bt=+{*g4g9HK;;q$ zknqPY@PUhN-xv`RpjNzo7S5A^Er(IT#Z?z_qm4o0P7OSt6gy{wo}0YMlFjgz%ChJ( zj8*A2jD2@D7t*$8NODZm}8W{yee`fkU46pVT&I9E-i@Mm>NcEZ5kI%(4^CEAR(s{ey;3@V}(QRP?78(CgbO%McYXP6O_obvJ+Y7XgDgak3BKg=gA%>-`6n1b?j zAA1pck>4Xa6jDgV0VO*T1Mwn|rU#}aTnqzY6LDEBP|FawQdI?z2=@cHo`dwAu&FRO z=Az73>tZD)Peh-isPmvT*1q26(@B?~FikjOQ4D&_*5uIKh}lE4_6fe)0}kSxDW%cT z*}EH3&~}L5`;SSmnwRJXfU|U5iBV?QR+`MNV6Pg_l5tfO4HQu;IYYT_-jc~FbXmIK z!k)#l&_&5dgpk>BZe1+>*-(XR^ZsI`ft+Kl`PFouI^}+3F9X0-GtzK3mPeR8pAf~5 zk5&L;0A!eq85c9A#@p8bIYD7Yo{zpG>yy~Oh3z`P***JezSS-rM%Nn%t(0C=7w19} zlYdQ18;oj(ma5bf(>(i4N|7uZ_8Z>7-E!gV+XPM}xtnwmWgZYCc?V+kHzY-`Ef^L# zL5r6FghbeOL>92nG8{rulTp_gR&P3}ft)6+o*#O1@&{(zm_me`8!?r*^_d@TfpR4a zP5FyxUd9Cz@(nt?#uG%g4_@G$Yy7(E%j^@DxlnC0!;K$(nJD^Ftis%l#iNSAIRR&L z#1sNK^vC-W86bajDI0>RUt1WZa6p?Gr(8j+#2i5U6PJ#Z_@jlkvAV1{P0-;DOqCvN zZ@XmMp*UnJ*qFrP%?YTIL_W%-@}!hHBea9)?l@nX3*;G~di5g+ie|3ko55srK*^Y; zr8$rOo53!&sT4rh*wlJLb{`ZMF;&{WSQ6uXT;$tX!JM_gc<-1wcT$|3S1Mys#8F$E z0p&K3`kjTcoLpqpC!;tk;alAO8-y6|xGX!Cy{j(>-t~FqAF5GcMg^>N41B^3i}Xyk z-|J(r@YU-RJitQT#eeUbN_DFJ(T;(u7;l>wFLeSO9A$CN4X=bp+mt*Uby@exHc=FQ zsc_O4DUdXohW}ajFpfsGt41~7;#QXosS%;kO*k&TNRuSZ3I3!Ch1?`!c`5}wH4@q2rzDC_Ixm`?Lo7`Hv zJsjWeEbN~>K8~ksJ{I=ZTFQ<;+I#oM&-hb{UVpFd|w~jU@DkpSX@p5^+-+=dHuTk&&s9jj^ho6Rv!8IUuj^XsRSDy*YAmwwY5C&o^6WJ#OESZ+Bm^ zs#lpmI^J&1?UDQjy}r-;AJ1#Uk$@9^9y>ZM+rh(YwW%9FPux3&N~|^qY&KViPb#R>HxB30SBwptVp z!J>DeTm!i;=^n)3BTE0>o+5!uz2lh>wUAx<3Kxw6JjQ4ctJexd~#p^whCMsiFhZ zcF(oVo!+u5ZeVD?hxF^}0cVH&D;km@GETb|hrPdZCJB^kKrlk@4y&;Pi<8L`Z_~1| zrbA(K7mN~%y{1&`r*rxp4wJp$BI`5G449#conN-u(QI`>GJsv*ZI(302WOl~2G6K4 zsZnr_E6kY1V=SptDEL;bJOjZMYwoGrD~{WM0@XD-%C)_NlO@<7`alQEF019P)*#x(Cz&l~V2}Zp1LSHYE{<3J%8ex6vvbicr zGSHw+-O8OHerPE$A;)a~leI9EYt{Zl!?cslX^j zJ6E#g+{3+-WL$4VJ)`Z&+OBKr84gqw{DnK``^1*ot5Na;(2jB=b1ufW%Z8^|!FMt;e4r-V=!=1`=P)%yap2 z;-<*iv6AWxCA6pjeJU!9ExG||Ue}c3RHH;I8wbCs4v~)-s|eQTZXMcaj7CV6){Q_S zGg?0QnW&JjG9_GrX%+Qjt0iDDb4t^+`NM@?@H805?aSjHj2WM2?14)T7*v(Y_Q^{e zwQ*p)K2#yO4K&ej?33-T(J|75m5E|~pM(eCQCWwkN!jtSPEPWWKr9F6_xrl6EBQ&x zxz(58cRu52Gmg-|odt{?^RzuYBWD)mRZ`zXpk#Jzla_~IVLazmgwkJ@qw6GAZ3>bjD1}fB;kgspzj8MKEgblK zth6&7(Q_xwm!t`1@r2GfCF2r6*UW2ySE;-)vt!vZ1r{rfTRmxGWNSIwI9~rvA5uJH z$nB=`Ee-jCZ2WppcD#GX5W&tm+9FXT%9<$dtMkEbu&d@N7sr-lFVUOI@3vr;frPZU z$_fbrS<5lp_(NBm5g_Ii0$J15OWSQ0l>7ErDnno}NlZMioU7XBQwt*hI=ZWOrRQP> zXf8XCy1)zs-ahU9mJ5dq7=OhtWA6Al-2cWjKT&sR-IH{2Nsa!L*lD}i7d!{EJm+xZJ45F2CzWw@9{^eD80G2((mofv)oyxeH1BW~v z0#)pJs{pKH-q#Gz?S?xlyA&0=SAQMb!BJo{eoK8Qx`i#v<~KO#gy-zYy#>O?t@$j^ zHnJd%StGzxaST!6KSF%f#PX0vE$qQ{jrn7t)2CC@^#dC6|3w0U47%K+Rb|&DZ764^D%hQ z9pJs;YSxqYh;*2{ax~DXH+x{mNegMu$k_kXMvoIJpcTJ2ki*B9-Oq?W-PpR(%I7p; z;cVY?E882i)6AFR3nlfA>^(f9zz~=A&BL@D55f+IzYdZjS z@9c3h5m1)%l^>Tg23JZH!)~!Z5{fsgFy_F${2a*10z7;DcPaZU1*}s9aPdBWF?+3I z)(XXR<>k`F;uG@sIXhaX*U(Z};w>*k?-%}+%qWH$uzykL+2fRR~}clix7oIFHG+PYi- z3^r8D9JDbCm!fQbfCU)Xa8TQkhp+BVq7xnZ%kv8M7bo?-wAT7#7dkLZlW5-!^QfmbZ!|znb6J1pjNE_5e~&u;YnJ?hs8{|Ot@{E*KI`c4FvU|S(U;c%_k2nIh*~m zFaNqxk<$YuzJXJ_@@41-$~;^}MphesIVK!I<-_UZ3t(-^#;85`BLO3g+}bDRb#_hz zd>IIaeWa(L0b?b=!AgZro7YEaMhm4r_oQX=!cKKJS?rxU7**CM!ofz^!c9xAP^2ezyVAYYgVQe^1 zUi?Fq0OHaWG47@;|BUg*njfXg40-8>(tLu7ZJv7R_fIjyTt%R=n4xi-r(GkkbYt0V ziVI#4K$U@3XQwgR``-<#4Y74c;=>HF%e3T0hRFM*aGb^jPLp`%IztsG4GuX=rusk? zfog0q%vE_R^O<Ba0U%PJvv$qlnJtO037>wI-8jz$j1tVW!EusD1X#|VzShG*<+B z|Nn(jYV_di8YC$4;Vgb=0XF8*EHh3Ao;7jeEe*0;Z~|l@keurmwrHXp1$=QIG3fDG z-^K%w4lF1K^S^m1!l9Ixv2mdH|25$6#Y0aXh`|#DqK6w8ArnEa#hB#Cm36_by6eIl z=NNAH>c>Wzi5#=!ao6*^8~EQ30Ud>djw3-Qk)e{yQp@G237LDv&cEUo-|SHG7hZ?{;IBC*`SfR5y-9dC3YwkNg<9KQA+;{Mg4 z_FoA#gpE&?+={}nXz&+oVNX~?@4p&EOZvNUBK=R9fVo$)Yo)vG#$no9wPVD z9i!W1H|s>FSOyD^F@+CW^k*_GN!O;DN?I43Vn=9LCr;?3vwDUs7d)jiw=LHnbr#HD zDr7oScV6xy+*|LFHgtHo=O$)EJ>SX%=z4kfj{*g|KW+R{W6vJ%n`R?#{&>8h+ucbk z(cyK_^uS#o72XWD)^>Z%cD;gSqs_?tO=2u`xXV`~Z=yZkMjhV99O!as&W?a_x;{lh zQsG3O?myskcq5!XUF)Pig()|gWPW$mn;1Y;`C9+8;d7MqkBemX>B5oY2Q=H8uPVl8?v;;58vrlXjS#X88pdPQH`@NQ;;+p& zx`_Ppq;^0e*7VrsE!Bq;u@NY)43>8WeO5aZ;Y8)Ac=5nJ65gPHS_zEaW8=&;ZmY z!bl*R2;Ot&hxBxSyl*;aWUipLe&1gQbf~w43dpmxZSfHa6Fv_lYUG&{#G;oOu%; zF^YNp&abEIIGrBu@aM;)9pAeXvWc)@<<08dgRRnsEnEASufsr;p-Z4~N9b3dj{_(l zZ^xIb4Y(7)qgX&_NnW@oM*j7)t#w$6X{$wevnM7-uu;Z|-3S-Aq0<%T=Z~wkUoDQ% zb!mq0pYvpK?`)kBkJLmLoDG}Xft`ltz-76-Y4MkG4!+-Vv3f;7s&EAz0`pgpo4~KB$sV&;zrpc9Y$#^cQ-#%+)b61UI?K5;z0RF zf$)t0;Tr%T)B%F10Z5S*G0g^7yzePL57si{a?E^s6+elNcwX<+KJFO54y>Sy9y zD@PQ%HTBfd&_t_fEev9+OIbl07Qv{SIa1c$TMn(Sn|NO7jAc@D-qV_$FHL1q;#zB~ zYwMKa5aB%KR)mLS6wVphsu*ov{jhu(0QE^Hrk9O+jNBv)`cl&lCW3A! zYM^C?3pVSg09wYe6xdn_jOz%DZ3J7wQCPpgGA~wBo}lNAAz@nPu{OpzSH~&UJ;_wl zj_^h1TnlaAryN$C&FAPyk`k=z5~D3+UFy7C>sy@nc?Iz}E+>Yb0PV9Y{j%E#kzPi> zwMnMIa8s?(h-lgNST9#=3?+e9+p26kGik5V z_Vj>T7qD;MeFCNBfJLj$Oqy|#%rBPv+OXQ$R2xnhr6TpD?EDBaw#h)HFYHQhOF}#IVdLKQyNH2* zBCBf5w-TXoRPU&l(ZdZOyAO`Fc>R2^)A0gkGsDZIazRjZYByGGgnvXJK@Xh#jw2)+ zv*MdV*G7b{AIL7ge1_fZqF&P?v&}oZuRB{R$gVfuJ$xHpHIO!yTr+B6M)kyD*Rj6KMi$q|MTRp67HG8|s!|)|rKoWYgL7BZ53|_~UyRINnHBfEjH#Jty`^3s zepEl5f4SQTP+kWk^$~62;Yh*2y%@L!t1V_x%1I>&JfGpy{dsKNX+8Rm^>DL>Q(V2* zOgLb0T#-Fxt)|`n{LD3)k$-!+`F>9ob^3O5GpfkeIaNROZqXdx2JI5Q>`tXKxS?@D z@N^|t$tPu614#Tu|NmCg3~%3MbP~a?c(ArNHmgsWvNzwZj!#paaJ4mHx`YAzLm{i=Emm$ zbn`f(py3BubtFn6XPBdmX8c0+FERRm)eVw$CANAadt|!XvW@r2=p*&1;AjSOE*GX= zaJE41@PN&e5}B7OmI$Mrl>3)S0^bDzAjIL@M^zYFE@j8eJ6~R2EF?Y=;A8Am)=*N- zF>hkDO0h^g+mR$9*a7NQ3MB-=!rtyRyl$DJr20ij@(+{b?IX(BLqc4E1i1hSZ~-=F z`Sr>2?~>`k66?XD%Q1r?M*|8Qb`+#5pr%tnW4Gf-PXF2*{cluUIkZ}wIg#0QAhB;j zrpb#?LlUI~AxIANG{_?E4w5X_Eu;hiN)Gsu==UYi>xrY!j)OH70$!!{HfRn!=&00{ zV6^bBKL)%boR~ltm9~vAnJ$yRK;6ZNO)t8gZg9GoBgu6Q2_59$bj__e7YBrb8eK5O zW}XO@lhv|}Et}>vO|YBG^D)H@twB!W$rgO#1csKaVd%5&o5vjb}Fl)}Q& zA!uspo`@ti4{1$k9huZPKu1||x=FUaKi`i{U<`QIJm9RXJHgYG;X~>6H$Lbyn}KPD zMFTe(jq}g5v&H4)Rx>*)iJcoxcEC)l(?c>0yW3>9&t)JFyzTkV@Usscv000-OIf40 zl4qNW$VV_B1TXJt13qa^PpO}{hX850HQ1sg(K*RgZZXZ7Wt%a}Rh)Axocc%ODFo{2 z^-_g5F%P(0*KxS6LhW*`F*zuYrYP?kaJe#e8+b40#ezk}vkQ>D8?Sp?Qeo^B%`x+Ic!Y{7B1pB|5jgLRJM3XX3HE zzi3S42&$8`nG5C=-PivQqd10?y9n&9hdh^s60h|GAX9cj}|(%1obCYi?1K<4wDp07C< zsOrkvDWq-TqRoH}uV4j5Mem7GJ!5$Z)BRO(5bVf+Mh0!&>#W#OgwGZSygGv@orb9t z)ocfvM7f<(Q+0#-MhdWmxuJoYJwkYbl84sZ9c23Y)DFh_! z(V>N!d#P!1eS-tl4iqqzCk=v;a;GF-mt6uj0B|&HxNMEEmS{}rODTt})5H`zw0eAd z`|b8;`Ub(73nx9NCp}09$s?5ZaJuEvOO4ytFh^+kStkh&0cgCV!E9dxfs^(p*CHn@ z_`%pX*9=bA3~{(cgXMXC-s(3tLPzKLpBUI9yX9nE>L;v@Fg;^QPGleP#g_?yR)SGEv+9Qd* z8<-8!&PKt==tqZR3!>;rXh%4MJzW{WSLp&8IIkgIQJVlvi@(0qIH)!kh z+g=&6RM4roIqCaIy3S8+0W=4Yj~;-4 zxrK@LSU7baS#?uwUcgnjWb%y*iG`jn7@jVs$8E2w+B~!mGs!vFbsp3=Gg9&54Rnl+ ze^s2`#Rb(FaLcUpN`!yip^x4j*3~2-CvE61%v9j*lY97M$0j1Id}Q3L&-HQ=;NacV@v5Z z>22qhz;|1@Fzek;3@^4o1uL?rYp}Xt6niqmSI({QJ9qv1GnLH}P5Vr*H`8@KMC!74 znIdCjz*Vq{_urM1FdD`t{I!8MoNmMLyIL%>`H4<1AlZz?2VI8!z+oV6#sHaswTyWy z{okCcMBrT(yIaEGH?;gn%135d4<^&#oXL@Of={)SQ_ikt%R6bOG)jWL$V{5*p34OG zTh%#o}HP$TiLr01L1HZtCC9+j#d10u=yZ($vqhH91oMvZ0FvzSb0-u z-VBffXCsNAcwh~_JgpFW63d|5 zJ4JaC<7mR@HO5qfU~#&z=WLQ<-`5xx0$DB8gX&eDt)}!3R@4|Wxy>GJa6YR&DP3~u`U-%Py8N*zdt?~l% z#>=uESV!gL+^bBzj23w~I5;tr8SBgA?cwqHwRiG(d;AspP||Ndj5L;qT1W@cKdp^H~8?7XXdi!P1n?cvh>7w5tumCb5B+LVxnwkv~!CP+WEu<=Jsv za{3Xk-~5Rx=~)VK{3GTG8xTv3~-rbQ-I|%S*^b;9U7Z$$p(X$wpNx|5d(aNYYtmK z;n72PfzX(9TV_hH+d1tqP~|%Ap;nN?d0jpUabv)aA#3l?x%3czhtuLpF{7LFi?~-n zMeUn4r(@g=GqPeI8IH|>Gdb}>Fs&DwjMoqm#n>qRx)oiEU0j01Ixexh%%0g8Jz2;h zUg$Y)$mSWTH&Vt3O&l365}1PmH*0mDp~RY6&8@qk5&K@A0ShsJhE@PVqUe+?Xo+xU zaU7lL`FOv@it;`Uq0da%*df@$?o=fBbe~~r*zmdC=<1JGMoLiR2uoIXkX$-8CsJT! zXLHqJrU(k5FJ7>neQOBS-^4Y(H&WpB_556)K#$yfDLDBUfnQ!F1DuMXO=vi88#DdW1|Xj4 znV|(!Pm}o z>3qJJfpS#(Y^T0=!L@RR%t`J*h;xqp$cXQn65N0gRsALqXQ;wW@$b07xrxG0l82b$ z+JLlD+|;=$7!S)_@=^!wvfj@RAUt({-(<9qj~XlLs9NawXRL5omy1nZNK8@5IfAxT z9n%fHQC*|0zHwguf*47)0`_^p#`^rYJKM{Jdv?j(8l3#@MDgleL|a%IE(x*`QomGC zl~P3kY^os7T{#fs7>p6shBVe#&)s3h_RQs-v|C}h=FGM;Yoi+6T5j9;wK)grYS{LH z>6)u}Ydc|MeG9>yeh|anVmw?=(Vhvv{qZ-*` zjgcb9rNvcu;}Uzd%Rp3HG#F%Qxwqa#*Fm|dy%}OR(ySn)Oaa#EuIrr+s0+=#jp+6a z1WSUu(pPvYGRkuP(PjWJ_B<){EYLF(TqMsv(^ODz+dM(S@0;ze`I4DQ*8%(0%* z*lkP)u%7_Qu*t)@ZSAS04>=ec+CEY(&AW4w6PtK@uymA=8E=M_022Gi&vUAmn@eqab{)g zWaIF```SGdTlYU7hu^}CKs>p~G#aHB}6|<&5Cc}BY;s(&-_cpK+YPQmj zyV8Z$!<%tX7C=2{c3YZ^CX-dGF1mNljSx?8?r*ZC-i*gu)Y&I1<>*?=2VyQ6gClf)^Yrm07&8H23Kum*{156HIg2_|`0iHLlj z1*Y^VZGw`TpL}*5juvO_ur9m^vGBy%!CE1(W_Z5Kg-OIWP2xr!xE-5`s3* zl_F@fA&UpfWM)_vAkw^fz<}NJu-14n^hnB2>?yajY!qFeJE_){Y?nK+e7b8QNi{bJ zZ+BaR4UOU;SK4l%y9xOPOhyyQfEapZdLc0p?qf6d5@MIktlUO$GgRg}c7{YJ6qnI5 z0)>XBn}MnTv=|b8`G3$Q((+sSFq*H=xSYk*JF|Hg)qo!M0WC>)i zrLpvkJZxQ-FavTnRaVQiT)Q-<*Mh2xEe3?b1`{`pWNAz_OtP?iJF*qZ*x?x0gk~(E zsvC)9PjB*6AQ3WY8?}`X>zDv_osO>cHpIh$Whu z!7PulbyFC*O=xMT^;PCSi8VaIJna z4{xu1LjTT{Wj5)sAe9|n?frRN;PZJe!Tosrcn@BY>=3l&cn_7=Xu6-#GrP>{6FYqQEN42{ROo@FfQIlE}W4pWO!ytuYJjU+hvbbzlFrL zoDPyr-zNh+*j{PV3fCxh{}mG`ifX-I5~Z4Q29ns=Bt}$z?j*X(H#xOGzSy=Hbt!S55v#RPj z)c+T8<+GfI8uK6!t+0^#^jOEKXqW{oO_mXrU2|s~_=M?~z0A#6(7Qt6y>;P@$)TVEo5t8u3A#MuGQzdv++`k4F%jv=_E&f8GIyt3 z*yV-tdGs=pO^PL-e2>RdhSRX)1j<}q_OTi-V}3(~!e`Ksp*n!nauVMyPy|BDMr=Dx z>Ju&np?xQ|nm73X%`0nD1SxPP>Gf(Xn{9NYY+J zJ4WFwE>kY)AfcV0c%I;;kb0QVL0q~>!cqL+REO?4kfjOR^X^uQxP_*ovNgt*peemn zyuDzo?d;w}TmD4O2FgJg7U`2yXk--D^eM!X=G9a_PCvqVYZTVGYtTE*LC8B!zRzD* zA2Ky?`4?|eHF>eRJp6+Yckh5sW#i4+9AdYqgea`_M5Wz5YDyb3S;Wm1{DYEbkZbce z`#}eRbE#hA&AKH1%&kQz?kknfxhmA_5G-13qf@#i4ZJIbiCNw8w*1~9X%h?ogqCn) z4fzy*!PxZtk)%kvCV8rbYClH1QzrYuqZgAGirv9x4PgR`j zZ8mNR-O=u+>`hJEd+D)V50h+#(9Ay7NT~{4p=#g6O}%b_^&&O_Bj6_CQksAJgUYN_ z9oOWrIs%m>FaG&LML)!Y`n&7ZJrYsQLi}lb zrPZ9~3*wzVN&<`GiCwX@r<%7(6n2ExjO8?( z|1oJbWHtHsJy$XYTNF{~#W6xhp%dn3=mbJz3IQr$5!()+83gtw)?A^AvO;++U7yYo zHOK^E$pjbI<{CHG>-+Wb?Ts&W=BPpI^McR)&8hx-LH5U^7~1@j|+r%8&qc+m>2!FzHXQT7!MjmXLWzk z9}QwNKeiMK`_CQ1?1=F$puZsC{NI8p=jXI5=LZ&jAP5S3@S10@1x7n^XOKFtKx%#BWJ5hE$-V)g`lQ^9q>S{-JmG;R{%b^X3;1 zcsVdkqcXe-43m{jj-jJ=Z0d*#-GF(5npVg%45dABsZ!8U?(tP)Xr|F*S^Nysv%(7u zW^PC-Sy9FHL8|R>Z$&G~91gWQeQB%mW-j`iu>cQxeKWbcFuqVQ{ zHhfJS+b5!mv9!;~Ad-#8iA8`u%2wqi6kZbS@5yP{m=-YpuSpI+5FL@TZPhHj{Ig4< zxU`@G`)4~8Y*41QwI>tv8IANX&L{P{VxQ8#A0Dq<$kg1cM;?0L0|#aMc|z=R^{m8y z4Wcj+++Q&pHOhZoRp~+NY<_dl76Os?x@kkWcT0x;@X7YfvD5)00=(L~s|FqRXtwcY zGjwQea5>xp|G-{2>>ZU75AZ<jG}Yf5Yw3EzeK=&cjmXSVZ90>He>k8AYT7dB!4 zv`~ZY!M*9U0<=_%n=~Ou)$A7`E^4fy1vaEMj20vNI#E6jpH>{0I}nlSw5IJ>U_ab` zpaThTa95K7bno&IVM6QSQLL`@_t=o)Nz!+FTGW@AT6rtn>WkSe--P9WQC`LE61Z(! z*3io`>Rez&L*BdwE=33U;&yRdlWw5 z4NV~duhGnz>s9T~>)5g!xb<$`GS_mzn9#vVpW<)WlKDkdye1?7VM?T~grx`4T+NR- zgqgr}A379@5XnoUU_Oyym441qcW0mrWai~*cN9f6vIX$R(9a&5%L z!{yt~jvK|UkaPcx3V#Rqto~kD-bkB0UuC}-J8Tf-jC;Fl;`Zb(czMH+mnx%U;OL_@ zaNEiB&f94_X4GV7xeQ6-d@|IJdZb`%O4D=hje$TkTd`L_TEGzkat8pRe)}%?qBfx@ z2rxgw4DpiYWb*lvH@FF9i#?AFZv&LoN#s^U1;8H%hTUX*aY@&~A18)UJ`sOjW6k-+Z|icT<+vnEw9aSJhF4Ih6$uWL1M?@ErWPRs1SGTqDZ-FA!cdNW@%-! zO;P;uWj!i$==CgGJ_y!0klAL~uby{gi0-xK^)=ud*SA5bC>n1o??Fq%x%-`QvUI54 z&FkuaA3}BR=Alv^Pu*hY4@JCyw;^9a3)At9>EvM}q8xI=XtF638V5L7Y4^0<4GY}w z6ujUF*R{l}Oynej(Wm{2J>=;6EOsNa*KIWWj1{@$Sy5dt3-k>fY*e$OjD<&b97H zr%j{zRd-n0$&ffzPWu{j&p1H!5ex%1ymxtPK$JKHNtx}2VFGaQH$l6`oR@WgDyK)y z&nFk%UE;^>^JSVjgkk}pys6J0j543C%DZLxv=$wA`wOaWYs!E|naGB3o=_2!p8emy zKMt2q2~I643leVifR0TrSxCt2%#?2gbo#IKYF>D&-GACT)Z!YWvS2Nr(S>J6N`h+ss`BRDhTC@B?#V|9y}(uZu= z)HUzrjR)sJH~!;5(qN#YJO9U|6@y!x2*J1)FfX$HYS9cEwX5UCOLs<8Cf1w{7bM>n ztD3*vi=Vr3!u8FSkVbkJGxtTaf`o7m#aE`kQPs1rv`BI=f98^Zc>W%8)cKTIJWJ9f zv)ydAG;B@$gzNS^OP41iZ`~45H*ssNcvM!Gy+6Ujpmw>cs1wcYy23ACEuQpLkCYjT z*p88w+o61GX}6)a1H31%J0@#A=D!ZGdI_j zQSg@~mX0$$(@W_xXP4mn+o}2w^=|8`cLtQT{7kKTZoxlYuWi5Yj`aYmnuB~)X~q{5 zNoJhCdhoWMgAG$ryPn%mGDf(su?^Q)EY^II@Se_$&|DcfSA61RH%=7&ly|~O!|Fs| zr4uMNw*PA5B7vWQasS9vP?)q4el0oUs=LW$1!NX$9g=;y*mb>@api?3L0B9J!p-Yl zXaBRq2JcS4CrUCZuUGN$t0VrVUp~xL(WH18v@Rw2E>)+g%YT- zxJP%WoKP7JrVRrX7>!b`nys$$hDgF&-$Z74Nv;PK&Z?OmT3R~A`4lK^e>P`olFM$Gr&u{gnfuKT|3m#L5U_}mm3iVlC3x+%0B zmGcz3`S#DEfGGn~XIwZU7?H4#(DQ%^l?O2x88WX|=x->8LR1`vJS!S@nAbajNK_BK zS?A{RBpzMuN0fXbk8<48rs4NG%`uno#E~$IJi4-v$Z0m<{2Xe%&NbbMw50yNwI15h zSOZ%zz1NxQc8jF7a}Urt^MJ01>Y=O@@8sKbEcs$SNfF2q+!mchm6u?D52;^5gX_Zb zXJS>u$6in0u93+tXl_VelY-O4=B~IrV6?J${nYjK_`FTP16o&v4bq4xn({uOJA`Dn z&X^$2aG~UUNA#<(3eW3`AW8z4&JmLi1n4kUZ;D88J#AyHM;DJ}(e0l24TYSg@B9C@ z3}I$w{ksg&8I2_zL~DPh#pX$9nka9w{k#C19exYC2q1+?Y-cgnNUlcTSihGO{Gn|t z$U<&q0{jl1#jlj6ik5bJl#y|nqn>mA-u~!KJb`lmE+9Cx8%MhDjm`b;sL(rJ_Wp7= zy8rC=y1VyLuIv5oV!!uwlJop_Z=Nyd{oy)nQgBu8-D?**ufw;)5=w) zz?B1Cc-`V9ScI#sI@=2fT z0p!U!a>CVY z(dJ&?yvZn>s&Q4b{ZtLW3cYIH*v+=VZ*tj46;@FYMzxmwBj-eQl@K)1p+CvyTIIYK zuK%7mWfL<%G>z7QxMgJp8)nmM^QsW53jol-B0=pE@o;lx66($juUS){n~7dh)_B$B zX|G&qJpQ~KJCqNLOyW9RSw`}{C|+|${@#w|;IMC)aog2t+}6>ep=36uoLl3i5{=H4 zR_UCJp1{ulQJYeaPq;g7Cgc2DC_k!jOCCeUWyWB{+4b82Uh7r`lI-EJA3T=WfZ23b^tle&r!{!mOaoozQ+*DXI*UC<9xD zT9vU{D~%si7i9n>kAdk?{^%r!ueIlVokR%)RyRg$(P{)EFXt-JBQ?RwDb`DR=GlbE z{gn0tUgx+fc@%vXf!aBu@c5b-1^SzSWiuIIyeDI(-{)4r@|&GY&SS!1#krNspGf4B zHSbmqGu=br*?2^TlWKf6sv{&xrVHTwW^a5b!(BD!=d@p;IM1@hT6BAKa?!w8b8Tz!(0wp^}C1jPr(*)BTizGx_Xv zFL86Hpyj}8+Rng%hi3(Tl4#QXKP_a|C@{TEJ2LmdskRT&YOMnzB5se;QLd| z=H$Me^_R99o=v(cX$%u?(}iS{<~Ko;w66JAQBg{wvU;Nw*YcTI)uo!&O;A?C<godV5RD&4-?TUBb!)f4FGDu{Evhe~QUtNo(cuu$)F4z!@ z@JJ-3KD2o>r4Te#f_#4pONx9L3QG<#8KxbvrNFvIkhvD#Qnp71sV#vMZTAX`Qq#r? zJ;xPxV7rN-UH74u^$R_e->BF|AZs&-S{v?DYD6zIz@et58I_I!BL-n&+mOPMEL@w4 z3MX@aF5u+_?TEKmy#Sc<_eEncTRB z_U;Uv-J%usu2?M_8!y3faq?SAYtNQGf#BW z#N~2yhGvADO1XW#fe7rie7YCrH6MJ}TQdID#qk3$6{AvAbT5yLKXkxU5RdQG_~It1 zd-f~hz|q6z9$r$0962!+Gj2&F2>~}Q6?j=Zd12pi0gZ-a3R8j1zETmtM2eBN`KC>Q zs7)ioW-aJXXwF3c)54Rxa=DX)(|)x5el#xuc#iW#z+tArS!SN-e)#}}_|H+K0WDa1 z^_~V^XtbJk-dZ1f{;A<1k%#Zs>{AZN&L`a>8Qm`okl|v#wbLZ##%iD;n z_wSxkFD@z3%qq>SC@F`QmBMNYCZ>9pB_#J_V-f9>z#EK+8+o}2^E5+lV|B`j z+L(xVCy98Ih@Q1r(AuI;COT|SgKN3SS!1c|C}bEVaV;Eua+i3M^>43kn#r7cIG40L zG|U*)rlPD$*bj$cYG{);ToN=8D7@9D=7VhR^qt%N(Z_`GuD=ok>^qQ_Y> zzKEg#sFot`uDsod%z7jC=6+_}d1l@xA}fr&=r^V&v_)wVD2%D`6q<4yr|rcvTocM) z_V`5zq47X;6NB@0pgH-9rd-v|U4`*RrmlNBc|A9Aa$d5#Z6SJ&PCT-n@GqB4=iZ-W z$_K60IVmG~PTmCotMslLWvka*KmA!&==NOxqG87ieQcAX-*Jpy?v@pJTd7jL=JM%J z+lM&_d+eS7AzgL6=wL5M6a~S43>d9n-wcQ?vBqjO7da?|&r6~TR!B$Xu@E^ZfX|Ei zkNBq}kdFHQEaomO54MMpE{U;KL#2rEQag4*l3n68qP8TCQJ+L*4v?QxNYBk;6Mi-i zbe)DeEref^{&|{LOSV3Q#=-d&NEPl1@JTC7==kxQh>Vu2EekSA;U8Gda%$f1C$m7MsDx8Htj}7;|{LN=a>CJRY(4A0>h9UWaBcj=O@O~%-NYN_4P`9K^PMr{C& znBHyE&T9|-CgyDtt)D>_)K;ZLrnT107?Z?x+&4jHjI7?~Gw7&fkcJ?v6~Yp;-@T1r z?Alu#c)2)iVFw3Jg_1;^2|J?LA}D^; z5?bRL5#w+XilBeYh%m}P{uK25DaiAajc17IgDYeAOekDOHA}B5hGj3+6>0x!NWLh5 zm%X<4M$PzQH+@Ree9!X0Mzu>lr)q1leb%?TDOsmN61+V)gjdP*ehk{0!8BR+6HsyZ z;iaiRvDRMQF)|#_`;>$kgR`cJHtcxNAXnai*i4X42|8ccNnj8NqQWB~WpSs4hsvWe zRywxKC{~4}7aiI6v)HbuyjuHOViCk@ViC*7=gPspM6TPl@ne>e3E8Cm9T&by?w(Kw ze}58|J-^OV#;btXi-KuwYLVawrQveU2gNSg$c}nDhRKU!184NCX?UYk%8P=;OBC0x zZ;^oC776?uaXOiih=y(_5-$vYQaYGbAwSjnx5EJCf|E@IYbCwj*M*=M-RVh#4SAw2 zeD~R*45~G@>*5s7BE|;v&21WIZ3Ln}B9aYL!t~B^v_#5p>9rED_0yNu&U^$!lM;h< z{|wP49~n?e>q{lp*e;7hICobuVb;F6t6a!@nf7!zP55btyU(RP^heB9wEIR0)VhtItbzHTuHRoHb7Ouv}hmp%FLGnVTz}hP@`W? zFLfYfcd=13LmQ_9aL_MzmpZ&`yFw`u>9P#Iz|s=;lK13PZKg86;34>B_vS=gocm7g z%0{tlAMgzdvg$FcJu{6jG~0h&U_q{*o^KGUtTb_Sp&v{N7{t*bZa9NTZZ>%gR5Fd; z8o;H)O+%G4x(HNS#Wms`kXpg;>c%yV?v7)|V^V`L%4C*+9S{#K05>)eD#kND0&`^Y zA444C3*u|XU?mQ!cOLHZr(+$O0XOFGk47Bg2`cxE4_h{rXY@1qW+tGQ=>su(B7| zEYs8%q(t%H!drg}+=Jv#hxsBrH~toRZlCtZMQHf-@nLuvMBX2s?JER=ycu&U-@#LI zQuj-i&aMU>97=9DKJ^l6n9I$U{63G=<;`W}dlJh-L3o-1_t~392oYd7FoL~_kf=%% zS;xMK5qm#X7V`goVNDi*|Kubfh`cUJ!@lxcanYu|#r}e1@Z15Sqh<1o=6OVRP8H$o zoaAqAIdE53yI4SFz_?9WBQ)}?q0Ef6`?rW*luUxwv}!MI@ifrz717P{dal4k20Npl zx0p)GyeMj{cs}?9-1**^{)2NE+q13p^{PWq%4&A2<7dC~y-G^a*0%1HBU?H9GvvCA zT~39`$|h}wpPVabDsb95R_g_>&%lQwGjphHUSWql3ve~EpLBf< z?#8)fKaNtchp|seg@^2#g0uH%?&sSoV*!Sg{`v^ULF$hbzVS@)@CMZ>7;rvm4#tA( z55bYEkED2V8`(j0!tZd7F+dQvtOM@~T@5fkImPqh1t9k!gdh(htX6~%-nJ1y3%csuRT-SmG#>~xGzJ@A%aS`dG{*wNVF znU6|oQT<`53k_i}FE?PFOoZumy_~I!YRbct^NxJA)rN29)rG-iYQm&b|Mr&vw!iY|7O0DE|HLma^n*1@nl!RfpqH6CEqEO6{wOVaxNl_?!%wq$;Tq$_ zHTZlp?CynD7g7rw362Ft6W%8#mvi*|4suhGrd!h0&h^7N>&kK#$pox7m6#;m~P;# z-#+L*Qtfs`>Q03H%Zy34rOjUw9lFs;z$t~R#iS4Z8+f$5C_r9`aOkUs0$}U!ZT3uThitAEdZ1{3Nhx8voaA6(&>WzuPMEP6V8P|6E6LcPA6Vd3%;q zy5*u!re4*{zC|Ol!rFtjQ6HYp}^8D-MtRUlxZt-$Q-KyTRt)J)1{nK#O z>h}`CEf`#)=o=` z>Y>G{gcBAC;=@GqnOJ~B;pA~0fX(qay4kt%#%0m~H_zem+ve(81&U)UBI|*&&ONo0;+l|WvV+6!42RCA(LJwpHA~rVoGBK<{62?Ju z^}yQ~(*mRJ!5BZZDl}Ic_EfO25C+Wj&&j9(Z(X*%{e1d$Y@5eM^U)@8twxHa8Jy`K z*-`eb^gV}-aQo8anScoUNXDMW3pg_k@}CACn&VuS>;-r8a;uf5M``n8vXCw<0lH7$ zsqe6D)lgJ0I*x4%>}_s@2wqnt)>kDa8>Yc+l3QYCRwX1Grqy#}c$$t>PSMps#+%2nmc#!hg}z z@H(-f*f4oHG4(b%t!aw!&q-LE3^)3pu;i5arUC7OmE{=^ZHf4K39cD0Z8e(OCe}wD zsy%v4R|3K&<|E9E9#fDYw}~z)i|~(2SR9nfx2dU$@Q+GZ?3XJ3M;Ml{*ejLyk1!}< zu^X-=qLyQNT_BSnBZc?mw3uZ1tW{q9dhe@SzP7y^@ew=FyZlx7>x+N( zT=e~C>~?_T6b9ZjhUCrXP5$Yi>;wO7OiIsu_pd#|UjvoK+U7C|!|y-$@;l7-<-OgG zZRVEt5={`DiJtepU9a9|SX>|8yCkjfB^o*3Y3?M$>9?s}a;1gU#XfH!5jM*@qRMvJ z2kr_XbI02v+cH?eN+R6&wp91n=Y|pf3J4lq3UGDGrGwp!51Jb9J`LFqS{dvn*LaGd zu7(;Ag(wIR0)@Xg>Qt%t)re`HlnXNQ^h-^pA|J|bzbNrANL`LD1y7v&F{Eb#hR(4F z=?p_i1xXTf2DwEr9@E%#&!GWR2-kP1qA;UeoR)^wQ;1Z7!X$7o$7De+nHU_*8UgS? zUigg3aNm1|C79e0*@hV5DD}a zaAAjFe_zCTzCsSmql^AJETalI`t#fLnbDW4440Tx2k`zzyVsK_0}0K1MX=H<9upzQs7THrG?N4}D>n)z z%@1>Iw@$=p%8~C+b>5x1d~zh$cL*vH#OajjRggZdF<~QDKu{2y>}Lna*MbOGhtDYR zAau*H@o$THnuHM~2P8Ixo-dkQ<}R@GeQent@wcZ-bR zE{a&DjJ{UMFq8E=6Q64Kd1h8QL9$M%9aMG&^_`**K5|inC5$e8c3=Oq&Hg}q_oZq| z>@;z}Lyh7&!k7evarZt2=Qlr3i}io7 z+py4&7WqqP`(uw}Q6CeCj=3-v0a6OaNiYa&toh(>5`%y`(@ap2=clPb0i>$IawnQ{ zY`K_{z%5EP&(zC(&|qOjy(addkUTywL`Q}@W0281oHdSdAg}NPR(#WwOu$WwIlW2rpTun=^eh$cIk73SFz4ua9Y^P(y|Da(Z-L1Cg+{>;Nd=+{ zGBadQM4R!kXohmJvDJ@x2i2|TYT;<)43;zjxRLH$m=wlYD~f%Kqnn~=X*ldeGYJDN z4H$_(lDrGYQTinf$0$gy5(Zn?lj9Dte&AV&0Cr z{`QIJX$&$`Xn0}U8Hyg^)v>!`B~jbKX+}O6j3{X3HJQPO`CQU-ZL?67qEO~^0Ebp; z1(uW`^ZW&}e5M~sY&wJ74n$j2gZ49G)uLj$bO4Vt++KM0K@Q;))b7+w9E!}HLOGm) zVTGH%!q46qL&#`;HJNjVTU>dG?((F(VsH|UESynWpP7#ZE%HHRJq$mRPWv517Ns*z zQv!Tpcg2c7Ox7qNi!BgYAma~=EM5tF<)05?>0GYi{<0J5U0)s1ixIYr8Q~3IV%Z!{ zP=&Ear861Ald{Hwhr4Ak29TAcYmy5O4XLH)tY^e(MC)bgC_P$9Wn2xBslrpJ!imTw z48MGt6;~1&r<6zJ71QZcR^FFR%ngQ@uZK5q$yMA;-YAs(ZGSUrRPr!qlM`N{U8uA< zM2a;*s*G-Ed<`A%*oD>C?nZJ>&+_xR;%WD-{9xDC<2};xtPuSAX~&mVutl(phkGX1 z`%S~rDad%4v>SiUp*FKcxYZ`!5GisWC5(yVK<}$wHc837T`f>Lq2WEGAN@YJLj3Ig z598qX&E?fwK2e^W%bw7%h?P!AMoi~Pi?R%G%oW5!u%@D0g^hi|&L<*EMOg1jr1VCt zPCxxg)(K`$H-QPBt8^@OP#S^08IBPpQ+aS`%2~?mkt=XHY_w;hHW5$Fuvdny zdJCr7ky%R`k+#%;GR8@5&;<;}&gF&7%}o7qZZ46)@TwWUDGt|-+mKIG&LaHFwzn4w zGiPc&uH{k%60=Jt>k-9XAa(&F^IAi96v2Li=dYHI!g0mfDnE>cM+e)|xO9Z?@`b+= ze&FNnXDIxFMw~e8Q#s?g8_x$>h_3tE{E1w=8QaL?a-}BOT}ExzD{5%PGvwt=Z6F#^ zdgQ=7Td=CI4Fye%o7jY1tJz4cRIPkpMXt$O9$iA-uU=|y*6VF(B{Jk~`lv8r*3j+H zm2TB4=;Ihf<y4r8y%p{Q9YCejIhVrKS8-Q9yP{ zNb^M~XMS)(+~%)6F!K7lYX0=Y?)ayz(W3YMpH4>1qzP#6X}i_N)O2BTT(-Wr?Nb^g zPbPAxoh<}^^wNqb5M>m0t!Y!f!ib`^idd7C@pq_oe_Q#|`0sQ37;24Um$|hIZ=b6z zqZM!Fq@~fW?UJbqtdx1N*JwQijvaJ4o%INtT@?DIZb=2vIVwkF`Apxxzj^!Vb^wm#?U zM{_D`*1n=A97rtSr|akG?)h|17ktI*$kFv~@$BWF{>s{f|Klz|bYguzIEoN~ES?Tp zAgw{dIGm&Y_4eMbOW^JHo@8nDRCCvdo7dwUM&v&oZd&h8S6XFmKEjo5tOui26PCSRcf1utYNgi-rh&H0 zx;*zt>nIW-KI%fn^~bM!zi0KLja)kjN{><0?i<@vOPL7rXpqnN6Fr%ADO{OnrSxfI zkrGyvM{jci1SS)q*S59n%|~1h1WeQ~V^V^8Yf?ZeDue-)$kYNntwbQwzLoAm=iq)^gqH&CPz*~fRZ5u^i+O8A3?n7}4z zEy9tx{zOy?VIOSs{8@JsNB~v}9&X!9%w; ze5h%d=p~P&h_cQ?Ti{AV3tSVE4zZ5Avk*m{fu{jbtdN)&2_rxp#sbjp77Ol0NEm?<+qeHiAIaN+_O2LAP6ogFfqfh3BMbd_s2#363Jwy9s>^%`MlY|19Jjzp9h3mmRyxV z;lR)^jAvyYphVXQq}_}8)t6S<;0W6Y@3D~qg-#;vy>%hes7K-J?bV%!6)LgO{+Q~o zi(AdI5gsHh^Eq+R$ zIGkn#C0j?aMF1*jOdopi57>fr{+41sB3cBCSP#ZPh(B@NE=Va@5rW_ZbMJlB%PoE8#u5ad5I3&N;nlm*2bIcfHR;c#pJSgY^zdcc513RFu&8J>m~< zQu=iayd~n$$>2#FLLFG`cn6bn2@yV+C$>nrF*Wqfnh=r-Ir>Iq&gb4D;J z$dj0cj=fa7i`i??tqdvqa7L(clNHIRmCV%gC(6aLG%qO|wq%ch$rz9-3W##t z%uKs|{}N){%&5D4LLWlu|1IJ_#19NeO$9{b{|H?LM0alHjsG+t$6bSviOY1k8msCXK_`N8__>o%V@d%bhPRiBo3$4AfiIN8>pP3MBM zyVw1O?H<>uZIA26>weEqrnaBFCdP3=GDVMl}CP5hDk=~)`vkmtK> z7P`u7n+U$GFV*bZdz1N&Li)P}RZ&)>Rb$vwZIEt=0I~cL9(QKIniEB5h5>GdxR8XC z$ek>Y1CoCGg8T#6S=|kTLI+d%q8T5p9Unz>!mtyiyZq|pR$b3ra`{!wJ*i{>(7zhq z8|+@DM9%f19JG4*0wyF;33tK`|+UH>4BbU3t5C-s!u+j~| z;dHX=isbDjOt90p`Q7#Y>G-vGkn!G)`dM>cw1!Inv;2pne&^y~J??-Dc(5yXMiN~} z&*BN7_w@pFxcbb(?NHZm-SUtt6m&xl_?O_^!KxEd|G|8t98ee-;y+Br>?l=^!NhHV z+-QkP2ij$ps)Z`FkbuyD)QBg-m!CL4aROu605b-H5Anc~9uxYPJAu_s{9BOz{|1cE zAB&DlPg30~4HEUEvNN*K0ipjCH&~t%n8-d@@B}zq)_)oQsrSzkpT2D4Xv{EF7wSkk zw8U>YlJ)OGM2?1FrXqY{z){v_aCGpCDRC|DDqo|Sz-%Fk<~cB@p2q`E0vTAqXqc~JqN^fR z4G03XbGMq&xx)=q3o@Dk?zl|;^)dQbLg*>Sk}HH z@0GYHSERvjFbo-Nu4*>|DOZ(WA8NhMF}gh8PwQ5!SDrw7|7GyRg?`!-DFd9K1Dqft zA1C^^@2v~Hjw$QD!D}$mGT@=*oQ-}%H=n)Q&kG0-O0jTCY~)AcpD)gF>hIO@;M zE-U8-H7ApmZkn^Tzb;ycWTOEj8{8fkh}_O?{*#M9(kEL0S0U93De?L`DeTTor7cJG zsX0$01k8!$`H89;;Fm$znM$9~ni={45n-)|qJMO1;Hm(pI%)szhChTg4&Q-%khc3R z=7x7$>?zQJcJq1fPOR-G9_!>r1Zx`SI3O~~7tyiNJid7CbBTDuB z^0r2^>z)Dv-vE*olK%51dk(A|qKVnt&?w#>w07w+6}osB$Lmj!A}zb28p!Nun0Dxs zUC2Dt*&_q*kAth<7UIG=c%*jZQCntPsg+fGt=gKO?Vp`dHxF!NcHMcrpKHG#4VfJc zJ$GN7*JSBJWUP3dK(FZV6s?M`F<#!K-C&cLX_!_#B_1p0LDkS_U}Nm3`HdV#o5+fV zV;%^!Dh3Y;`ucVvW<}1|_okcSQ)dk2y?SgqACd#mMM}~6C3F-Gs-9|UO&GZ#t+ej$ z&d%oVJDw>h<^s=K*PM@7&1pPQ$62gt=Ah;r9PemTL)dbafzMi}vEkMf{ z2ZbvF3Mr6izzPD^e&^Bdb(|=CXB&yKaWydmsa66jBf*!YT5UeBa*TmJX2Su|_`UO; z10656fwB z(~o$6(+xI_E5t%1#i8UOHL9rX^?yaO|}sMY)dZYZpuBIY{Rost3`r5uJl1+OKX zfBY+PsP@7hJe`>q`!G>_z%-$RTHwB1&lOtRwG=!Fk^Bzvq$M#y4hffSxaooPlu2m$ z$BnufEXN_1zvy{Q%9W=bCsAnA{sB|D078{-00iZVaO*%gD_QH7es*%Nu03Q0y*{Y| zieZ|NK?QzWsat7r$j~O%Ry#toqgo$F{jUyNhNA2Z<=>)Jig*Gd_cS^s`AOhzFvSvq z4t6QgG*V(wZwLPACgSl}&n)Ob$pc3^GCZ^8=(;S2bzZ_YL%i>tx5yjdEK-dz%`jws zzQ+kCtuLds&XEH4)u?$iW&W%YtavAX`=xm3}U8NKyMPnL|A{;#GQTY-noy)Yo zB`2VlQXE#XU&C-jno=Q}7pUU&lTunljUm=sz&wp@k@HV4+kD#zT4UutfDTR0k`eALBQp%%k3_iuCl(W8vBYGN)e4fY2j72XKw5oeL8%f zH$syj#=5~F(zQNR-4YBl4%QE_$i2s0qsX&5uXR?MRvzUz{9ZD|duF!;0Rrz0GInhv zK6m9`JI!(@{?-IO(iu#Ucq^5gSYzsQu$S2uTLH!^vQ%KoleKkwxkI;bEv1cl2kcq6d=2}5P`GXv9x)F=DTzyO!9fWAu|HypGp zB$%l2Y#o-%(pI75PQo|#cB#!esSP@gaMEsYObFQV2*h|&qa57oQ!Zo=R2;$zkw3G# z(Uuv7sB(qc1Lu>V8_)|F9IxAF-27zOJzO48r3f+e#aaA+c_`ZA{dD1W?mdxa9g{|> zQ+Y5Dwv}@}O|vDF#)%u769X3E34L1V4$8kunvpRuH}KkJn9_WmrkMv+KRHnzO`6!hnqF?76E{S7JECstkc5{7)sk)6`VFEj=+X1%A(=(M8nQgm}|#0XeSQ>5Xrka+i`UoXKN z*YL)UNxwMBlA3i^sp0OVe@cs~W$6<>CcYewyjJNO=W$<+_b)~#{%Y6C$)uCOgL<6Y zt|+vZ3vT^&BSfT+OmTkllSjV42K9{+i;mxFxGJyT52Nd(hx5%=!2@v;&E#7ute&j% z-Jb|r6?2vD%q(Dw{*rKkl<1dYN?b#TwRBcl>N|abT+H!>O4{e+2(<@p<0C616_7)K zl$mQyVkTiS#H&vHR#+EEjSb2RQW3yBT}PbUJ)C~4E@La} zqK|xK^pBYXYyr&#-$?6q|KB7nqUvHht(ZAXadJt+7%7PK)cQ{`W?Pt2vmq=STZ^?A zKjp-Wzu#^b`$T=O+ra`}uvUcbBoQ2zn7^yy>$8QQfA(4tN(NsGLmt>PO)AKk(o%qw z!p8S7!`n=Q!kz5Up6)ywx>5g*yoy7)5j8gd_Em{_3P3V9xfyh%oZB)qCA3Ss1;-=B z0u4-zV9s-khb;}F#dytjlg^>0b@8?~O>vftj}ztWtP)Rsx(C6@BI; z)RmAYx#t0vCkw&(#niotz+zxJzoQSt!@$%7e^tvEyjH}jCFH59=DiQOh|slK{?fQ`)N`Tew!3MEMcD4cpq!v$@UdR=~Fg zMeyy4(7H=gR4-fP_AAP{UFeZ4OIfQ}SI4;n|jA+8eOotpqz7Fo_AycFAK(TFqJ_&dVD6ioVXLwky-aZCarfY%(^ zjdV7jmZ_l5VjzOX2pW!0k?i2aK1~CQ4vhIoC%|8!GehSM> zdv!N8OKr15ZVDrDYYNM`YpO+gruLfd`1YLPBbS=0cRKN|G^%4**L*w7(Qj+Q^5d`;MO9oH2rqU11+WtG$_y+HX@~rR`jyHUFI7 zMd-Wewv~ru^ZU;1AbrBJXb6=-H2XwjzxwN{k$T%a;xq&{J$~B(_e!k)32G*kNF(XT zV4^_0&U?yewN^-Ew(3LkzU-onY$Gz}?AX#Q^@V-Il9am?fG!@)nB{RAkoaW5@TXLI zE0IQU<4MT6U<4eQp&!lGwy(O$l$omFDcgk6KZ31eMzMv8__~{I)T&P`i}QxSJgDIf z&_p!S0P5@%D;qs7dqJr@DDc4-mfy6DqXQT4RYu@%(J8bydt3~Xvhw7)9$CJ62Uyos zMLMJqp~p`Kl(_-1;??`pZ?tw#(FFjhe$&n6glLwfOcLW9~ULBDvQM7@+H-AWbeV+;t_gFx$oBKL)Nf615o2V(!g_$6QaCExwO z_-FqAi;n^i_|Zd!{p3m5QO4itwk&GGOZv&Pv7^NPON`2pQB!Dd^+Zgir?q?`Aa@bo z(K-qrEVwFuesG?B0QufwiXm$kuu~ZMjjPk zt{HsxqiOXdy|`re_>FV)&Qc9hy3vH15^wRBQ@9EjG;Ok+P-g)Gx^w+y>U-Uf&0{vFmIQqC}=hfO? zpC^W;O@UXY$xVS>OG9h#3^Rt1+p*H>Y;LSStR+33d*3uBy+0kd$Qtmw(Uk8igxs!t zn7mkjoZ734oi$=bK1no_2dfwd%Svzw8k-yKxb)F$uVYK4HjjyH%^*;d65g^B&O;3z zKnxy0{TGn`*NkLyb+PD8MJKP7BdK!FSmGEa-ew{i2e5vKgpmQLB*Zl^X&+6+^&5e3 z9zOO6O422;fTvr@XX6)x{Wk#DZ;XGXZvzTifl65$zZCwRMu_DAeSzdP(Jw(fNe<9q zlfXc*m%}84gd5yqB}Bj=?1zrsf|7IyEa2)^%G{t9u*byViu_2!|113fRMOs{RP+RdnRUOhSnb))9i~gYUhizW(7*P~?%iI%jvBTLo37V~6Zv|~ z`&$($FYAwj5X-DLajHLe=R>om*<_E#)*6%2u#hPxz`Izv3RYn)I0LKZVk7*Mf~gPm)hD5+ zXgR1oMPt!1B8OARWnC?+wWp^RKcQ(RIy`|Dl!~S)M;e|(vhxty%IqsrxOM{xd{8c3 z)(h%89E$u^kdXIw>&+988O46O+q#=+IuFM*WNWHOX{oq1Bl91UxtQ2vFNpkhx}9}m z+isn~AATq-Y^ln#bhmD^CTjZIK9DILM8ZW#Ps5@~#LnImKmMQ?zccSv8f)nY zse&6~Y8Pq96+E{ySpvSxmZGq^E0S@JQ%>p~nT);ASqLdXNBBqOtRxL|%CVPNAPV{X zX$@30sF2YOXB}E-QR-M^pi|LZ&O8H!pTcz^UD{H_{g{Nw0hfy0*l=eWW^NVs^~3>3 zrCayeQYY7wkI>R$d(#1c@Uu;Js$qsL=ovuBIV1qEU36&lHE}xW+t^I~x*L2*1^5|& zZ)=b(YUg3v(AC*?*0f=f6}+&`uU>Qx+wv+a7|h@P$&sfR-@@e_HxFq$yhCyhTm98k zzN>I{q2$T)XO1cDkxIgoU8(oZoD9~j#E)0~QtuuHEj4afT{WLlvc;m3w_(9|-KnnZ z8-}7Mn;sMBr$XjxDYgto3n{RbeB2bc|N6p^t~p1wp4GWXTY{=JL* zivJb+FU}P6nl+SK{ZRhB7g+++_hp7M)b9E|FS4zb z&|f&H_fyuwQh0KskyJQjKkr5?g+=gU_e9ADLmf4>4Ow!@BdPX96CzNJo{iYQ#EL39 zkWmkYo_*K^@#H2Vi{;4F&F4>(mx9IrOpaQa!r`ZR88iv1&C-EsKDuK zY2a}0uqv3;1r#*W>S`p^2({Ui5%&6PVEv+tts!$>H|AD#9)V~t&^@7QwW%Yd?KJXR zkHg`Xzqe`(_}WZMqWNPms`i7Ut%I?w}t*f6F1A^T|avXIcdMO+rh}S^0jFR*&U;k716|XZB6!+$HcA@0iXi2 ze~L60<&d=3D&Sybx@IJG%4(uliNL4=v-bgz9g=oiyX}os|4PuB$W#)a$E^1AKWnNuuCFVa=N{O}PXRl(+^1G1`*{dtYM@cxp;jjS zIS{Ykt#S5Er3Ou|9Pmwf5tGINQzBIVFdwJdN+q#O)sIR!3xj5=iJt`{JXC)U$h6Tg zOQFIff>9>(bIy5ye8-$3)OZZYqN_m?t2qWSJAjz7zGSIZUo9Ng#;1od~5ypV3I>V2CWM*0cZxr0K9R=8(vZ3%iv>BsCRy0s-f1uTLch z*z3W3J+trD63n+>ii8#3-ME+PJG_3pEoE_*x0@e7Nmlb4la17gQHsoV6lpF@aeRNK zn0H&QL4+@{AL01@3^NQ)#j!`hiF_2{AA7Dd0 z%Q5ha1Nt0)>`qE%0q?}-2yrOOOCh%tNVd*+{vAmpFm#L)b8#~zBVKktfowma-GMsY z=0|lO(_^84mqMhc0;giepS=vII{?ML@GR%;FV2Pl2l>0K{2@)+Kl=m)4y$>^9%->2 zcx$|+qW9SyQDeLiL%!Q!YW@KM5O{4Vh=T!Z9&!xmch(tBjf63(h**HQL^~>)BVF5| z#)oNrMuM#00KUWn-D=@_)O6b50BKyYOnFyTT2mT!HN=oO=BTe<-BdMm#ug2k2#9|J z1QMqEwxAlfw+Tc>>v5u<3<>Vw(eJGYzSS>9Si$tgW<&^amJlLVb}L)5;6#lX5@Z0k zbuXM{2zh9O`{)QmH1K$6MI9oBT0olNo30W=mq|ZE2291RYH=nA)+7i^LwZcPCJh-0 zYz_fy_DjaaqWCof+JHaPcJ;fpG^lv8#6`2rr$GjEghT4|={P_Ejp_ki!0fbL@ow#* zTmZXnc!zCv!M4DNQ8c++J+ua5FeDuztom%$4-FGeOZLqfGrX2-wCaIv@ew2RLI}%V z_JBSfm6$*iq| zUu9#tP*&qPr`l;wtHYL5g*T!Sxmz}PrDX7kw`y<`HN`2qj-zF86H&9}Q?jO0;aErW zi!j@WeD{2TQvSDs+v>YMsS(2@L+TMfC&5KsQrYhqT3z77iq6jBVZ0%97N@c`tWdH} zB)zGP*YoD~=G|HJ>U!7X-TAYPw)eCC`+o9z`vH-o%R>oL-A|0)b<58_PsG?Z$meUP ztsHv%+Uu{LFSlpW(IKlk+Mdr_RSX~y6uoyF=L=tJ`Ex_p$ujo3&-InfI%w_)!h?|Qf1P#6bf*&9BE5=zDY^HUoZCf7f!S{63TqFAqMI;0PM^KbPT z+%lNq&@1F;cn87InVq_Z&_i-~R{M9K>&XdJX8q!Q4j}|qW3-bE=<@d&sUG8m zcCJ9?yzTqC$D1cJXCIE=T|U>V_cqd` z&qP|i^1he1e*9j`1A)V|-)JTwVd8rWc?|)3?moaW!F_Su=n9V74JwnzA4t?g5xo&7 zhC>-mQhP%x{Dg97L^pk`o{!xqUS%1p{pU+Zqlmj*_{~w_|8`3{oTHm5(;(6XW@)c7 zceEP0JB|FiTBy?Wqslr_dzHGQbq>7KuIRsLC3mN?o1^)|xrz_t2av9^_VPtA|F1ez zdzGT2Rm$Bd{pN`MaL#JFY?)xg-R-~Xj}B5@9V+L?S{U(`%v`Nh^w@3g6V_tqPkReD zD)0CnIsD@$GBe5HguA!V$z@hk`>eso#8Fk}BM#?TL>$E0-hZ}d=2mYVZ^n+3^S0Mb zW@N4pL#9@54vp_rk%w<@_6ncE2fEfq*T$;$d=$HUJo(dkMopUPik8l2hT_qQFZNh` zTra;|q=x$#`Rv)dyeBh*pqH+?mJHcT>BZd$2r;;a=SGbC~e0`M9R7yxiT`vZW&!Ep31A zH8xn{qNfqz(@chB`35Tz9Ys{h0NqjHU0IKgM>^*f82EU#{cv-WASG*@#N0<|%HwaW z3l+%`U>wR~+K$T_kSTbKS}#O?z~gVN3iYiFt`u7EH?@Chq^^w9p5x^ZK~(;o_)$(A zD-n=IF>aoWld+F<_fQT=Df;(J9$qO?Vv!h|A}_T}^rz`<7V<+Lsn#6xX}2^-p}G8P z*2CaZ;J(>3l488PBDqMT?ze&E0R~w0PljJcS~UrAudAXaRk=`^_&|MvR4$@J%%Kt!CvtnrO&8L}2S35a94|DEl=t(=cLvlhJtIC}US*LRw z8%DlYm7Q(V4n2U3lE+@(bmteDSRa{6g@UL5+__*JgLL@TesO`CkHjgo^PyA(!JmGr z=nOnO&ePZ#Au2=ri0fZ1IkEU2JA*=G`*!#^Y*$zv2}sc^ zNtpEK+O9;(;ve_d8@1ya)iaY=Cp&cRW~SlVAC~-JG3#Z9S(YmVn;vN)jq3O&-J%@2 z#bJ$`=}D}P58v>|9F027COg<`{+Ypzy7$T0ka-jx&}{^N{I%S}wZDB#;6%3aQ}=kx z)(5NUBB@?TW6B*toef~Fv3rPHVcaorGC5znChu%Yqh>?OUGG%h6yX$**SL!|VTyE2 zrw4ldi$it`T1UlV&VSlxcRQz z|UQVs=19d(hr3=0Dc3n3kxQ{zW6H1=khO_Dfkp7(Cs zPV+v#;Sh9eb8QiKD)C2&yl=1*{3lU9^c%KnjM}%(@U*%xVu&o|+U$NJ{hacEEu2Vk zTVdR+0mMkE%}{CrxolKS0=W>f-jdSIPznOMTvQ~2sbDf{*4!YnR$JjnQAgW;J3y`= zS=3ah9%rt3;DESZIN9tLTqD4o$?os*Q}nl0n;zTp~)n==hJVcnG+-ZRm=J$rJg&^8s@H11S6h7Pm2u z?9lE2AK9RPW&iJ%-V*9b#UK`?pua$oZnVPR;M?N1;@{a%cL2;gK%{$yKd*EUx>#RW zfQj_tHtT-_ywZ99k)8Qh_HRqG?G_xX-A4P=-@t5f+wpIJcLyN+JNt1oA-v<+L`5H5 zFPh~a3qT1eqtvxB_K6m`Xt`WwL90nq;je!Sy>e`QT{qgnK# z>xzeP7q=xBw`u=n3IE43pr>cIaRld)A;LS}O=QwbWFi;MQazMfJ)}0fjd)_WDTsNH z-D_ap_ZO(E9$NYv(0+h=RMS7*YUt8}4wI|);2|Tum&~jm>&a3NPT{Y` z^d$!sK8mUj^(&rSFRBtCSCotzkc%wFYATE*#=04b6=}K=%1$tqgGxs*74+8%LXN_5 zY|hYy^`)by6;q6?#_4&w9kE`EXE$6+V9Ns1*{!=CsHxcdkppNH>ndR-E9 zbdiSK4_se#x<;+7$9zCHR~;U)bDLiukf)s?EAO6T=K~UbB)^u6E!y^O3CzJskhRlg zNdQRN3qRR}?;o6EaDM2JrU8c==br>?SQADgw7JJfVG{<6Ta(N9_O2Q{pa1qD{o8jJ z{Fkq9`QunTgpfbrrq#!>bdY|)Yul}C^S~L347Os7%?$V=#8M%EUhkv-Pn7W_+uH0; zfeK$W5#=5uQ+bUR;zN#y4kK|0wcec`6`FHSc%IAAbG$LTvyKU$qne)Ck@>hgy`_1s zA9z8ab3d~Fv+d(4cqDJ#gkz3e*r#jPQ@7DG9%BchI*#b2w4swx1NJ?p=c^Pex6w)- zV+Eor%IKxIp_5+*>^n@)mno;Nqt-meEJStK(MvyvPWrdmwwRtTQmkCt>3Ou#iK<|u z7yO5gySLdkYM#!`m&HEaZOK-rtt19*I=gEm!^stzE5B8hYtgx!`bmVG_Bq3H9e!%q zjVgT0Hn(>1f^KoI0L(G4tG{V?i3x_Nh=8i7kD|jo1-dI?)oj0|z(Avm_0-Fu0I5<2 zX;Yfj7&DD~9E)`EFeC|r4%80({HdpCMFov}Nj zs?;I;7uSLQycAbOM51uw^OOfd^e%%f7oHbAg>@hQt*q=+dArPfef%G0YI+&V)&onT z{!Wqh8!_g#l?FX$f7bT=8<484$Shj@A_-`OgO5{&%$}e67MRo zOP&HHd2%*{3c$Y?(&XG8qws!Tqd|QPRHXdAN{?gJQ8h-H&xK;Y3Rf~7xPtthJ`3e$ z@&t@@A#pMa{)mx(wXo>=a?p%0;TE;p?HZmLo2l=n%z+36$nUP%&sCyPI z0@%y4D8uhncqkGVrBFI=zx;HFI!R>Td}xBk48vmerImwXyqHQLD9vsC!KFogi8^VYHr2qv)TQw-a9fk0j<=3xhiAfnoX2VMbNf`qTios-e z#SAiAEE=UHfs}xYS{zp<$gWuh_-LGqegK5Fn>-YcT3rcpu!R|Vy z3r8dwhmBMmR|=S{l1*G>9zsZ&q3$|1o)Nzy{f!cwkCXjA;KC4*2N%*tvk%{4Lk^MW zXYH=i5=urZss2%LXc_)-Z%+xqmqPo{;@_mo1wyvwVv>iUr3Cs)Tu?>M{RvV3Ka~Z` zehZfWM%^BfyHIut0638qT2_}0iqy2OImp>|?rLF#Gq_=k9J{pp&vk2F;H4mFLpteh|4i!sC#?Q}%Ks!)KVaEEupDMD=$*x4Ec$wB z=4N+pMoG!OlqUSRYeO;X78nju|Ay2#-z?Zu@&1-tGmE`I#E}h+?}&wz*1=xfJ6hHf zH)lxay)8Mr6*xdn=WU*uz1L=-alW9%;2DS~_d;~fu3a;@%+BF)&OiaZfyujBz_!*{ z^)klpv-V)jyP0UwZnXe*x0diT!e;r_7N<&mTdBr1_R^|N0C{`v1FFC~^WcQ}E!+jV zv=4IcJwx7r`9o{YB=cInNE+7ovVp1ILoc$hqJra?S?GW%dx5V3)Q^=$JACQDRPUf& z4a~mu&SVd9(1P77Z?R`lDAMYZhdh_s=^L0=EbzJH3pcw3r;M@mTV|0OUn4T5n}ja$ z2#xOH>a$Ov)cqS-UnAQ6jp~}-KiO4u&g+Gb+%^;Yjzu=~6o)WWH1oypM z`E#e;DSuB7B^2>s>o#|pka}_1)VYP=5Bw(5XZA)lu4N%vMv%9}%C|3P7{I-2C#8@; zg;5h|8ttct!^s7E5Ic-?6bN~<21C+KHl&8KT&C+4%6Zv-kjF2!wC852HG58l%hLQy zkj2(JnBqE=&j0)%g_G^C*IzVj@Ws%A)~{*cc-3smk46x$u`JsWz=J8{5!ih^K4w=yE;C3C~xZKzbf8O0b z88CPAxgN4_bh|TGuywBSdKjEq4!>1-y$@-7-aXC7e%V3zuCVY~##6#=OXCRRct*;j zDN2(;1F2~K-IjB7Zba{1er08Cjc0At7~976^7iHQf*3SExSP+==q+c0-RI-VGErXF zQ5}%v8k>~j)wp%rT)2-WPM)I-ELK8$Xo1247AvArCqmt8`lACwso~|m_V6cfh@koZ z_$~{CoA?S93sn`jp@g>O053=0Q$h|%3_NNH{6X%ggr@p6$D2L}3rLa(B@a~PNv=i# zrj(J7I+@~crV4vfV1j_d`%Kv)ffSfIKrvH1(lp@+3d}s*4Rk=&b{-E=bS|y!u02Y z7}?*N-$WkS&mnGwzR|`rznU7{cxGa7By9!Cn-?Uge;bG{aLq~h@vT7q2fV>IL8`CR z1;PahfF!gad4KsIvOh`&<*9QpsuH#m<&SC-)Tsu(6#xnn22_84rwU4xR}KF~)=Wuy zt~TtUeEo)V5!7$;^-@j3lgr-|jTw|MN=bKp2zLV%_mY0d4+(&*IRDV-M_CQJc4jlHGz5+#Af{8anlI$Y; z)^mgL)k5lSBKszAgH4}-J^VuU4dMoqKLZo@n(#R()M)v1)a~yy+ev;U3k*y}v#*tn8Dmn2_ElE-uJ}qGdrrvnt8~kz)w)OxW8Q{M$G=;?De4B=|H=WH+!#sgo$~cfCaR5Npm(Y@fvvO)_J7x z7C$=QND;T4ivbZ{**)SQ%T|eoZ^q(^)qZnuH<>4a(v0NlGOXBL@B%oFv(lV$jkP+L z{*XoY8fPlig%=rP=Ne-_8ZRM!f((p}&`SuxSr)VuXCfWEA~0ZyngTNbE@TYYM5B&?wU?h-(Tou&E`%#s!oACkk`ICjR@LVA!5)^@CX6?{Ge(Skmj4YJfp zCdZcJ8_Jopo^3LczlI#jN*YwpDm~O$Ex0YsCtr3$z#Kw#lXu zn9d5S3o9vzb!+T9=cVi>Oz4gZ;NAK&U)6#NcI|XG0SOCEvxkXr?ueLG@oognl&BwEz=XPG}dl3vcX%o z@ztMR`B~8*SZc&~Hy-!>p(mbgtj+#F=52iWr&r(~$W$ZV_X91_6OT65>V6>OHok<9 zlqC&yG2U?^j?r*Fm`9S(@e1RXS52X9i>jN#&6Ssf#YG8xcZsT+ONLkPzXnyo+ zIPROLC$9hK^?}se_zXW%A4v71*9V%TCocc!)sUIG5$ZuugD93U6bm2OT!cDe+V6&! z+8yeFQ-dg)5fTd@-fV(8qT26VZ;&D2%v* zlPb)@8>GKop{nCPnyU2MWDfzTGy9rMd?a_3<<2;nMj|sLk%d<`>;s zyR!}7HGjUxc{{`zGZm3MZDT)NzW4HIK5wZ#|DAt6c~7{}xw;$X33tMsc0>5wndj`) z=LH+zS=M>}qpfqZvje#9wC+-&wQjpUo_{W~e*e9#Gi+*bDgTmB-|&S}AwzS^_1JKR z>r{jClzK=5v|Qi0Pf_EX(^nCX#Ay~SZGY52G&OIv0rYT>Q>k)lcEb0bCd$T`wIH-! zg~_Qmp%S@O57B7Kp*m&yk?34;O<=VOlV4ByZSGG)RFid|{GYxAtiN}K6xL=E_WyGi z*fBqqj!>KP&8HJVX+5~NV!1ovp3&$wl4_*|Ny2SHUU~gzZ{~7#!abGI?HE;_3obkP zE-z5ci~Ey{HKhDnK*AhHzU@9c!7i_unwP{!F+gt3;G^!+BbLe)qibLYB07?vfetZ<0CW_ipt1yNiZ#@-|5t>FX3jr2#>$VPC+X(cHfpfmT41~2dEi7bP zx1B;E-J|c^UQtT{ybPBG|aujytYD;?Tiu|MDI@Tg2QNjAYc zEuSv9HtQHnPc(swmt|6I`gHe5Qm4dNm{&JEm#sdSmVs9aSv*oy)2el3NE_Sy5I^(M zhU2o;ylbaTMN%&uMc6bu0VcFZ!g}O zcX$2w+y1v>v)3ZnjT`irS;~yK(HZ&7_yw_tCqsAp-NJ%jlvU9Ww|4scC64!XBC0q_ z?`8p!bCZr`z%FanOQ*cB<3Nf^^t6Z0$@=@@b_bIR6s(81OJ zlQ_EaF8xcaXEgJ@9~aVh;b~UC`ImkEJTkYfQwP%Nczb-Bn>$Wk@ab~DwZGmaPVTB~ zGDnz@(Xx5Bc^(S4etdhqYg#)Y;(NQT&UoG4Jx*TNd9CPr7y!A9$h>|{4_TJb?s~bL zoBPb~ZEd1qa(h;&G2Y1~%V{wW>Fv>^?i}qxd}cBx!|#1R6P-Nc?otya^xW*JyfrXp z?sUCi5^nA4Jav)&bbQKgiyjhrL`?Vo?2i9(Y3JnfetfbuV?(^g;PW^!c^c3)lb zZuRokSM=23m_|FR`_5YuN0Pp4CuO6N-wgIpqm95%zHo!5x7 zR~`MuerX+fHm+TO&i*;OfdZXI8kKf`25nM|DwJ@Q z;-4zT;VP;aRkkTw3Eu>FB@&1&sQDKZy{k)J3>6-y3a{#LaDG2}yx$hgq*uhzUc4*er| zgtWE2TMZr$qdsCuptI5!N0r^^`zMDE;?8w%#lw(uF_6*|v-C~teHXUmJBW{L-Ah%+ z-@Ai3jx1T-i zsFd$PJ7a(Su$~iZunTl}w>f)%>$|Snz{~ezEKa{2YOV00#i>Oey%XMJ05?zr8ZE#&-OjdTN4b5;Gnvxot!gTb#SlBaI*mB|F)dK_d10u6q zUo7qZR|`uW_-9x?LbLGj;sKGxE&V@RU(D@L8=F%an?uz#1L^2rb91Y{y~HV-g_p^O zm+6L=O=GF#V5#(AC2O-Cvp0p!PU$Z`q8Yht;Kf07ZZjFs1@kdXn`|MuUo3Q+Y)L&ywhMlK!+Vfy2mUo_kIug*eX#gzQeFa6URTz? z1LKST3QXYQ|10p%QJ8fJV)k0cv*RlgS+bcG37+ELrPhySgF38qlY!}oPs7VFcPaG) z*&u%_*^onipm(dG54gOe$2ZmcjUw(MsDK7o9h&5H53gxA`X5IB1kzbJ(%k(tgg2bZ9e%cDv5PC=--HKp22Gb;9 z;O!n0=oL#~wzno!l=Kv%juFCRayH6&kNoZGV!dfQ-P3Va^=hIo-SZE3#?HsN z?`rLHpXnm^!=}{D-A1vCYJ>XeAMWC&Gh(C!36H5s17Yc8OT70Puq3(B75x+{M7`V|`@Z^IcpngRV zsFex~Vlrcq7;x4K`;998iU7kMjve@9*!bO;_t!W&6JD7Bx{9ejF&>Sm0fuSZC#fx= zK7H15fp}$8d15>=QK3()YC#m}5eMRYxnxYWv~=XjC6g<(m|@mQ%BATAo3-5BgyAOU zbK>LWacj6daoypp7p#Ojhv$ey2pe)b;5PH(;1i>pxbW?gkPI{3bq=n|5DatO5^NV+ zob9R*3{%|_tQT>d?ZOZY^WEWW7t@^WiVzd#8)``HmdFh8-7I*nqL5YmAq&af21q>0 z!&klR;#>Inmq^o(gVO-=aY4L&l0=8eUM}%1LcC*HPFT9~0P?k*8sz`a#fx4})g1yn zE#)4K2N(%YAp?BT`WrEH`XOn@E*Y-2VI(CGibV{3R=YONKTU z&rf@&7Qyc(OT~r_FBqELm9pZnbM6v!x3$??eiTdx;z;T|8^&Td(pMu>rbNtV6Z(yv za%hdW&PF@)98j=TFkuBtvUO~e#6|=b7~&$N0aS)4(AM(0m_Zo1G2byHpQ^gFz$wd*u*rEth73ukoK`TsZCNHjtfhgP~fH6%Gq$uJ?`Uz3)R~iO0CbT~l zig3<){-larD9I;OV=FojibQ_&oe8KXhvjS~WT_Y-^u5X1T2py9RzV`E6fuR7eBkah zR12#fy%b$KMWPJ)&P?DVYePhuqO??u0{Y(UY^}Mx8=IiEREmniNI7tK9;$^+&svJE zjUrJ8ePYZ+gYvOu2>Ca5_cIVI)sYMNX)IKs0A} zvfRP+*D~WLIv?XiexW;Bm;KAt*9(c7n@&|8jsfWCC*!Frw+f>MU+ra#&Oq%DatY|dW4vd1(C`g5kJion0`>>ihqSHS!Bm7%a8L{l#ltb zQiw)VLQawhl^<7MLjHrAN&G8JNxl_zN!(B964jU=^M!HWN^oL+f|uMZD?a_8MwHi^ z{X*z0^P|gT@*MZhBYBgC5F|KcGJ83!_n3d<3Be1JouCXkjGw-Rcl7$M1tMsa`LRwp zc@KZtjz2 zmOlyx_pyzEEyjXZGeeRvLXxmT>Waa1|3>ufM)ciCTUWZFVF6Z7pw<3GdMppD!lD> zh&o97ML((%EDr4*v^5YKk5M+cJQ_YV+%J#Rtp_v6Ok{o5$>{Xd&TvTU0y!lEYYh)O zcHe*dAXi--AFomQxIDLjDSKskcZ_)O^YVM}0eG{T0G>GeI`j85e96z5ygs*AH(PUP zs&0eB#K|gd=WTdxk^CBXk(7^fj-DPf+&-^I`wLZ38U1{s9evM-hMw2n^ZUfsRo5<{ z^LI9)*Cy!)p8;^2u9Z;|B8+u{S$DTn+s{*_He0icj!TuR7sY}*M^9vzTxThdA|N^0xhrJ7nu}QDR0m4Jx{I!uGoXV<#~I}F((+w=cPP6 zppHj_yhxb%^~agX$N|Ia$@(=ftP2s5P&yfiS=&&DOKk@lXc+^M$K>I38ev55j5sgW zY>M1NGPiJ3M8U=FjWwdNcQIcUzFld{(@JIw)-BevD2&Yp7~V08H%d>Pkq$IVGpz~z z1ZL{jCSz!r$DJgl<=>JNc;MuhOEWDC{e0ybcq5W8XIbI**ObZO{3G#Gs%6KtXs0%d z2WC2vm6TWdd=!>Gve6ehq$=ojY_QPNUUQus5gw6w-O zGW4C&A}ZPqoQ4Pe0UQ>ufG+=4tZ_XIAqguABCP+W03#lpD5Rh%2^=z1j~@vDB@o7c zQb5?0F9ZGI`MRpUbdxKAmlNKk!KSZxxxsr zGh&-%L$r?{;bDLv&r0fsp2Wd)MWMV#p2Pv%k&<_dmt4zdu=!%$b9MP8x`XHD>emP) zLv$O@$yIR(j8=A?M%|2+^2e>sRMpT>jya$!%sG57?5j+rt9?)Wai-?kjyWYZcgy^6 zfpn##eGd9@hUQ*BH6<2j&0yG9>2h0rKlI~t)wTX3zo{K)Dm>QldV2;KAzcQUyD^mq2kub)!h2#y^2%`L4;Zj zN(GLc2pV-!LOPaNhFoW%U#)OzY@`Ukgg65Av2#?Vs9cLB_g@2IQm{BS zTKQ#_mg1R+OpNobB-=l*W?THh2i33*HN*V5WU77Pmyq`&oYZ522&jaRiV^fHPsB1` z`h9U61qTx!i_t+)C;{}pn$aMgv|}LjC!ujw@&nFSDQrGGW|lk^=aiOyvs7*{Ha zWggyuv&F=I1LLqpS&z6E_Ov?mR6tPj(b%WX#jO02Woq@#H2=B6gLCLdvV-)j(rq5? zj;af)d4b45SSyxm!qp7dH6G2Vg>=30H4bj1Q)wM$>2;X<)IF0Ur}L5UN}_aiviyC6 z^vQf!Gk0b+7)^t8zjbD4+e{c@PNJj02$z~5S1eeDC2RsSJ~kpbJ;*m-;wlQ#DE&aY}vP_)}l`y?m%cVdCuk#IV_K z=w>R+n<|}WHk80s=2&M+bi``;5#ghxuzK!PaTIkRY<97E|LWjT%N6hr!DK<;|7CYaS6fFu~8r?mIrh%)&0Z$b~2&H_K#rK!H$lj zue!CmVzTcPpY{;o3!7ZBR8SKF?5TX`KVx~2L#-;)5A!sV1NN{~R#aC}Xh z*T_8_Ru6l_y&xlbqY`<+wTQEuiSHclsyVH#ogGgAJpKr=M?=@7_xT z)15pV3Nbmnr47Mqie4mAGw(V`_vtS}_`{QDv73>GuJ^xEA`M5+Vr%e+7gx#9ZOGBj ziBb*8QVs8a<>L?M%Os7OdiuC{Jvyl)!jA@tiJ*W{7>%}m12JuWW;{dxBD?vwHv5)Pz4 zOn4`SJ=~&w&1NZtd3vj?Cs9+OtE^jud9lrAS`fCPHPXGf023+#MIN*AVkRDYcB28fX0@sy^^Ys4I%yyA zokz+n@=q!QF$qOK^Ah;O-8)@NeF``|i1ap4r{msp_(> z?yi~Xsote$a8yvaQj+@3%y$&u=R)iX;Zg8E@5#TADNO0E;fI>knnX5Ua5!yuU{CB+ zSi!4QQCyO6$CrErwZ?txEdM~|_D-e0Lx-nmGv?#^S4NauUJ&|g+ahDy;OzDK-dO=_(RPgRXu9&ldgUi-V1(J1;Lp;T6Fz83u} z6HZ9CzGIDKpCOGfs>cZ9=^N{bz8+>5G_?&X()yR`PyL49Rem4iYn6-Z4+mKZ$?|5& zYZEFabl}%wphJcJAv(~dSKT`7!A}w_V)fKp9m3_=sqNaq{}cOB>c(fJ)#*1|mEGiA zC=N!FuVG#-RykatUd3s>eHtP189ww$Dq7v{>~=hBT%qB~h;rzF13vkML>?oV@AZjN zgXM?lmNppbi}7pRl5fp1qz1ja<#XG|FDoP;a1Zp&U-YC@6X7t8X0m+R6n~Hzu@L&X zZWy13f1sEIu~a$)D6s<@U%zQ*VGig;vVOa_`0zZ}UtgMFa5xp==%CQ3Qy*t$+1RFU z5qt*BDP{<2&ok_`vdpfNx8L{%UeFvPvHTdJl7;B0tM;B+rs5?W)%oBj`w$4|qT(H5 z;rp9rmqj%GpdBzPm{>Wq?C75jH{*#+O=5 z$IVTdBu}*_JL1UW)DmT{mEE)3Kd99tp*0#)C?tdUD%oNj zH6+!JhBd-28>qjRygyhPbQ~o3OwapxsB)Rzuv4KAa ze&K*WP=E5o#3|A9t@Cb|BJ4fgC{S|e65a$mVYq;Ik9gDUKi&|H z^6f_0WjlN>zRCp`4mB0CXIJ4)?DEU_F5uTHCRShGbSCniG*b47_!)|%JJ@wOXb9}3s7@2v5*4eDIir>{k{|pH9lH4nvt+`7q z$*_l%kt7hvBLQw@XI}I)XNRxyo82x+962*|STs37 z8e}Z}!<6=TE}>{fK+$=@>9dL_ooF9zM>?G2(oKx28`4Q0HRsTKza>v$t%Q;HY!=EQ z)u%S&Y|iiJJo2uPJr>^+pOfMx_r||%rfsyVvE<8T%a!<;*V{D^7UTrp5TCK4D-R(J zPpu11GMy)dn0{MzV`9%lGBetrh(``s;?U-P>DS=@YByj@w)DpZ@~*rgtMaNjFhj>G zI1&0#!qIbNZQ1y{xa_RRmraXQ@3UuyxuultAhw!MslGShifBv!4KriqiHYThDX4$C zM_sOSR1@X+OezbZ&l_WHwGlIb-%pdhJu4*@G8WJQWD&a*AhO#$j z$m0t{7>~|=B5KZ9!c18|b=}DyN{{1s)K^w-0-*3b)gUbdzZI7tRTPflqrk%@`{i z%0gSi$dU2RBcZT>8>kSkl5)w=>i#`*VRFFz*ncAYD}oaFq1pN*>2-g1vb)oh##Afb zO+Ca@IK?+pa|{J-kE~*uCn0|RY_@H8rQl%2k9Ut1T~zGo$6FNltyM6%kXP7CGG~JC zCWXm&J#XUe4ZG5UuO_anr|Bd0V_4@HJT``# zL`i#%Ls{V`++dOY$^DX>m|J>CM7KR3L?#JbY4TZs;KL6}*T?FK==Xjq14wI8=+H#; zgiQ4GO!O9E!Y5@y27{y-7gulAn>1Fn7S^J}qdWZrUW05G1C^P%)8GQDKo+k%L)i6+O`}q?X!S}_b3vV++)KwcZ9l12m3p|rPkW-q zo5_M7E?yHw_g*)P1p_W#14Zs$H-(D!(}yfs>qk@j22Vm6BKtG@UN;Sj_A`f=S?dQ= zt*q^eMY1BoM^n$N?W#p6BEkn#ga%L486w*=R!lb<&BBW_giy@%9u0Te`rFexThc*0 zX(zlxDyrM=+lO_1Mr-vH=+}^6B{&64b?Up%znwIh*c5i_)t8`O6Mqede&Ij;YA5>3 zte__MtES+u4b@$p-%g)P^aVAlvr3E$ICHU%UqmVcLrf?%1Vmn0HXGA!1$W_b;Qi*Sl65se+!i{i= z?GR_55##(su2MpfDtvQP_{QG`ZiGi{ha~%q1ZNPr3Wy-p@aCxDjlUh-2(Q=_I9lKI3(iT?f;A4WK(;&RT2i1?6e$RzWLjzawXO+K7Qg&e@^Kvi7-5{B#( z<^swxnX1rxYS3f#t(*IzpQc1IR1GOQ9VROZ{BEYt7X5Cs4dNltKmmmxBQXQev^i7O4)lIv(mM!W%+ixjOk$L}1g z4>8#?K!U5Qvr7r9`0b3c+5uhhIUUaMTlQ1LAUF6YH~5@PxEWQk1N!W9dK@$~c1)z8 z5O~;qfSg>ona^Se4B6)lI0|U&EJ#6#@K1^GIfZaD>S70s+2@QnVQB2iNI`}0PlfO~ zC2%tuVh2pw=S)N`Xq*N}K^5>%6#+S=AO1Ui!MiHU??4lL$RwdL#fy)jjFeJH{T|;} zwQEv6=8#cBd8+X}1`AS3B6Y%hU)iq7&oPG#64Fz=?=diuQbMTTzxNgGnpBNBq?Zt$ zYQ)1hMQnEe5=6NDbU!W0%c0MU3$TX*b5e*3Q&9V)dK62XI=mrUceJ~B?WcQV`yMNu zzp)L=+?By6rjgb}&8ezeHUh@e;!NaD2N$7ODK5zhC}aZHQdY?>biY`)CtWGaoAe5! z*6ymqT9J+<@n>W%lewDu)15{3(!=a%Zzu|ySnbb-cP-n!KR(%|I7PWVbMIR>ie-FT z4$N2OWog|nw4=*RB>LDZM(qYPJ$aWGL?qvvs0?iBm5~6-_423joxj_Ex0NmhT{nx#RZ{Y^QGo z=(S%w27Xx9_!uk4sn7?hqi&|+q{~ko1sUtNq<0(utAxGkTi8m+Cc0L5DOvl$u*b|r;HH;4OCoPzye;o-zkwBfyP3Ni^m^+wD?a>kg*SeqpU~bWSJ|_cmc=;e zbk6x&3p#KZz6o^I(wqOgryDbHV;4 z)sv5HAv|r@xVFVUiZ311ST1R=g%Q#ier%%)1+M3m9v#ngwhTO+L3capDhJN9YTE@w zpPN`qZb!u{!vpzKzt7e2ZstLES4yv_&wvVD(s)be`ny}TKc2!l1%3tTZ}QrocQj zI#FArz^pJn$gHTr&aK9-#*S!;gcJB-XBQss|78l}1qc7{3$~%aKL40HI6EQy|IpOC z5SM{(;NWCo;{G2rcXP|W#3>a-Dhz2@9`z*uX}7=bAyk)r%G{UF?NvIFm(sQiA#3Ky zi#I?#b|8mVW-?`GLWz)?eA$%>nUb=m9}sUA$NIQcEHY#B<~HoCG>$; znUjvjIB&KAGb{N*!ghJ8ILH{skW*LMhdK@iHI{!=8!aw-S51ip&$$2P_2O0+`?H1v zgRS`9{wuchASV7Fe>iUE7)j@ue?KuBuaFYd1pZ=?R2_>t}xQVm?se6u*1+GH#+Z%^_1;L;+on>6IwP~zP z>m)Z++0HsiK9WMDExV|10grW~h>c<04gh6@Zjz%fi9=EpdXyg|EDX&ZHW=EQa~TYr zyFVvA@eT>H<-OG!+}9it{D14MMbYbT8x^eXs^9!ig?N~owP%3rSL70dMJM2{DN#K{ zN8#e5Llz`4Ytr`=7o`jpZ52@ zRXzH=*3+Us**BcMnt_OAuZq81jOga_oFSd_0 z+LiIUD;^wBNPbRmBXoDmXvn4g`Chej*X7o&#lx^XskHjl?d7IMyHGUa zWx_s-uJk7Q;cx_a#8NBzQk1n``1%7n{&^vq z0v*&-_ET+mZC0YIbq};7N~3Ue+KLnz(^{h3EqKlJ=%kw%a=JRO0H+ucDB43AFYV&l zjI<(eqMz1|Jzn*d61kKN{*>x@WNH1btLFu)%(Vlx+j=OBiYQ!pW;iC`mTX5kkZESkK=7gkJo53b9`c2Pof2sRZ6pahewjTP=4|Pb53Xi^bveZ z*O^aQ`TiS|JoL(_ZHRlWL%rPZ-0N~nn68sPI`gSV{p?89;CGj1XA8OAiT&Kpi{_%F z?yBT;PB;bO%tixP?<58El#(%YZNI+J=5<|ZC`!H@vAWS#+Uhug{j?n`+CeNe#RuIL z&40yE_gTsM>2(g(J>9zkbL14ItDWLP*}W(YT?U|~dX;+jFE3A2SB24?_+XFdOfD3! zinEZs3jh{M^@8_LyR(p61nEZ}l{s$coGWLhC1oD(VcuSN%Kn01$(+r4TExoHF%xum zqyL-u#X3X~YBt09wBx%1kyD4hzIxcx)h_uz4aH%L1hcL6fF~AaRNrdj`F3cZTk7O- zkHCv&Sll{7O!!p58p<^gW>UX0f0Ctcmhq}**zy6JD@PmPO6a!e0ZIUKTT6-Xbwomc zk}a;yq>qJ8_F>@GVhihx5DQjHG&ZqLuSseUcTMJs^<97$K-wtQMhZd6SS-;k@^7n%+0ZMfmWDNo$Koy^Cco@tU*)6v*?4T#xyQ8N> z9Q2(;M=K@=5Kgo3M|M1v{OflGIWyrdWS(N3!f$x*2L%GKjV}k1|;L#SXdZSS()3Iv8hd^7?@qyD9H4MQ$ z1Uns%tN2esOusJ(uE?60Gq1$4-)Nc;%zrfpjOEiEzo%aC z^E|moRGtat{ko}OZHC!BUT?pT){u}|raq{zSV~H1zgm~R_+Qa!nmlm%;(eT3RXxf>*1Ug_pI3p_Xp@SITi;2 zI#%_v=Rx>H`UQ15(KtC#Hy}bDhX;l1(_W$yG1|K7GvmM#@(Jelu|oYW4TZG&w<)C^ zkGcI=MsMpfh+twjHK@;%pXe*I{1NrpN2~avqLUWWd{p|L$L&Gk@9h6*-Or!sqkj@gIi&e|Eu|+bjEf_YcfDTT{E0Hd>?-k%RVCg7g>*P~0Jq zZz?h!BZ1WTXF?k$rbxmFTgQ~oUN8QCLe3ipdfAwv+4*NxzkJ|qXNxBN5BR=>9j3v! zu~`XVPO9*L;DPuUn|c<-S4$a_ta{?V(rOmH)$7JRM~$^2?Y;OX%o)`Luo@+5+Bzle z-0LM~^0%0+MmC`>CBYSU1jYYAcT0wl9OF~3`FYMM0QsJI=qWyjvgSqAqmdf$fOefQ z8d6|zBI+gYp0>U;e!sEM&tIuWip@^DW#;WIuji*vQPPzCB6+Z4&%{I>5+f!BM|?e5gRF;21D5F@ldd2q2LDjF%K5k~MGjb?Z`;`)jg4z?8% zlNMlmJ?Al_)OUegen{zxi24lu=7>TF?)1U#4FM8m-449$k^JObL;qTQeFZ*ClD#lZ z`FP}RG96_C*Cr$&2JyX0#I-#fn%1Bs?Ir(O?2OH~(2}x*<3=G$e}PKTgW%zskFpr% zT)|4%+_2ewLZ?%Pzem0B{kN}68By(mzPXiCXs#`cg`LNwppJ!c?MVI3Z73gq9&56tAS#V92;oo+aQCL-UwOLrDd0$P`RZm;+r!$cl0O7yO#GnX%U*j#dP z=49vfJPD4DCr=N`e@1F#MV?V#U$Fb5Ea)Swp5M+-z73t^94Yja?r027pSOFsn86Vt z-QIoRgdfti-CY@e*s~9vf|D(lsZk66?j8J$=C&=%9lt2YhLVgc_Z)y!W%Ct%j$h_B z_Kd2BCEwf4O!mfqNuLcR30H3U2Y!WsIQ^f~+$XtvoXrlx{;0)nlk0XeeVGr3*Qn%ihM$Q+2m9>RVQk3YzZ!!hCdcAokC<*WPT{NeKrqE)G_rHflB9e&coP&3Owr z9O<$^jO#E7KK|6QcP-#Zi7)pQB6lytZU8BZpV;%|ZwV3uJcf(x$tHk}$S1kMKfCoV z7_ugizxSz5l^fDnUK{NnYg{EOExa!>^Z1iP0uI7_II`n-%jr=uVbwECy+caO`PpVE zpA$1>>Vf7&45XOcF486)eP6v~ky0(>*W3E#m?+92TSlN8Xm+v?zR%}6eqbUB7-4qm zm?LF;T=2Rhm<4^l%oaR}66*ryYNsd1R<=vC#NW_|i*{WjWO_srVss7>2k2@;FeOtvX>dU~2 zBvNe$)fV}$LLfF--(Q9lQw5?!>}EziuNBPHwC$=s_qF$Q9>u~Alr$6%_1(#se>t2@ z(Vk7Vy0y)!{)~cg_o7P1-wSo(HDTk3CM5S?O!9LCLzNs&@;S1jsYZmJh-&x(qc^vk zphbP7tx8}z9j}GI&70q&7IZhjHP^f*`mH>DkP1l$Z&nAq`Z3kcD2mHxH}ZF+X>Ukt zf-OIko?dk8BDeCtBks3?*LF~4b;ueOzQ4t?7w~wnv~)-?XDy8^tI(`ksqiJL8#C@w zZu;ZPzosN|?PI zvh2`C&A-7mV9lpf`~ZC&ZJh`PUG4AiBlLsG#%k`6(8f|4S&t&Pcn(6w)4o|A%pNBR zmTSkWLimQR$P=@pr z>Df(@xBQ2-NB!DImOs*Z*ue35flYzW$m6SzKZ2fJa-fV*60413&T_#%Aw)mQj zYpdr3^N{M6?U5V0U^l8om-11!eDH{=fKRVP7Uq1n^;;0Ia6ZhA1ZXcIF68P z>TNn?t_38#ae#&znf~XuK`XAk%-4DYj8zE$l*d`l*;8K{nL_Jl|FObhrPg4Ul%G1A zwJBed8S^5UOEe2x+0?itq|>l~?=(WZ3`qD)4JPQ+Oo}4_Ee9*vej8Cs{&P*aP-K9Q z&8F_b8`;sgOw5dKePU12V2{`%xFoba!R)v3@o^qeb(_- zg6Cnx2+x5$ryLnz%H(`XAb^Qmn`)I;z^(-|6AqxF)xMfXMYC?;PvK{a;)Mb&V^i{p zLal%N=gEO;P_B{VcdNSD>NV7J;nQDfHP3+r1ck%aG^cN1PIU-#s(KQbQ+eNx!>8g4 z2z|Cm_Fr;4yz`#JIB(!s)_0&}XlHI)=^urEVTk>rxq|(>>=ZcDr>$dF*ZNmP#!JVe zF)|e22g)G+MUzxV`(A8K-`_k4&5iKrY&)Njw)g?9!!JRMu{4quLQuT2y<}NzY@2f? zqU!Y-7B@W_iYnwL%=POAV%oeMD=0VoD+m0jvFD|uUDex*)-f)lZ32sCMec1jNoIGD z6fqhIj$EWPgS})^yYl8NqWy16?RD}mbL(`SNo1%D4(lt%;~RA?teKmBaji1=qV>qfbnoLg%Qz#Za^R|BxgihRus!fd_gS3@WnSy(lJN)vi z7+KE$*4X|jKbyFp!%|W;Gn zHGZB<^CGPj%L2)d;jG34qJ!&yN8v#cc|BKc%7{J7#ul|pm4ilWBi%tDA@7kEtlGk! z7V2Y_OQ#qhdniR<+D|*4`3RwT3t-ut&$?FwcQvWtA|@H&Scv*$@?c47qf6Pikpw71 zamWE1+A3i~8-d3KhYQ8s&L;IuZr`;trvY2yfjV|YSIGQM=?1{Av3#hz@A>!ECETfM zO|_P%z!BxW1*cgH2Ji?!HLR>5u(ZPeY}`aout|4nY%*Zq<_Nf@A+<8KkvMmOtBeG= zK+ChJi{*i}e|Ln_<2IH&B-Mr4r9Y)V0n?)u3QlDgqfh3x7mx?n7peTsnfe5t|sa#Iq)C4KY{+ACetCT0H^GQh zUXTEObIdo;-UQUd0R`e>{iQP!F)zZ5X%Mu##}^k_u@Z4*VI<001X>!JJ;W|_Z&E7+ zNO$lz`;nW1a;hH<$XIEj>d-%}p7OFQ))Kht0>(ZC&XM9SE#kWMTY5NFMet33Dl_Ia z+Dz%7>eL0{o*^C81531mNDoi9jgsWAMjwdNc7hMCj^qZawlu6t+%`xSeGCxs-{uvL z?0HLf=X4-1M^Kpnfn`Y_MvG}cJqp@LEWB(Z)P}QeOZk;Q8_iPx1l3m`8p5;XMR8+) zT8Aw70Sa~}k&Zfnds-jKQc>|J24sBSc1H+Ii|o6a;5)* z8y{G3(=G#xgE!*i(;i)#FG4ul?PX>CKzk{#@ar|sSY~@8HODS++UY+HKok|02wQr; zkL|v6dKXd`m5a-QK@Qhe>&u1i8wvJ^g?FSbINCv^HfPPL(g*j}fK@5*eH?8N%mt!& z2t}>a3_aA#dJcq{ewEE|E~xn1mb|49ttkmKCbp=<)gH9#)SsM{`l^15oLO3C@lz>p zOW!xOi)O!<{EpKi0oFxWF&XN|az^&xE(!rB`HhK7GW+$%wuZbUxDQC|;Qx3}QshS8 zzWrqEdN+hjbV3d|P^v<0Dm5~%CKqcHU2up;tUyhk8h8pOzsMxUaKk2b;nPO+u|te- zhZTRc@D}de*&2D z$h&*?dzqPH`hHcrnIN>bBQj%j*w+yJFGKP!J#w#tBB3zJpLW0GDcX`oNpZ*Qh z@3SJ}if(Y@Z}%;>Fyr^}TQ--CxytXBl8<>3tYB+WR~p8s<%}zyHXGV3sIvWLdbswB zP#BTlj&B6iH6K4B);az}b2YSL8@ArYq3ASA(D_25V{vNTX!WOa15Q&a&5MC40p=~s z+D5g7+eypsh5XD7WT#+SvsLA+-O+Ryy;qka?K0Qd#u<{Kt(iil5T<9Xrew~E;6{TD z7lQ<_;i3jMT+lx3oE>_Kq_M>xdUbeY3VAIJ)g`Hh?<4+laQMw)-;X$UP6~Pq_2BA% zmSNe)zth#r6B;X;+O|I^%D}9XxQ&T=408h+Ni@Gzwi5Dpk6zk<&QU z?=o|bxEi*hK`)E6Wj!vYImHYya6#(n*S09!moEHWwu4K~2j~xz*zJwQ`YsYuc6DGj zXc{RJQr0hy1qH#T7LqEv4kf*A0V&mhnrhV)n=&giI-BaKC^GVU#GH4-QR;e2O?0r@ zYRF7q#TK+j?#ZU`IP?><1Ex6(MYr8P#~mC z4cZjZ#_ae*DNT>$Iq@+ksG4?3Msw}Bd8M2wt8Ziw>r0LMy|sD>rZ%kR;FocQ0$dv2 zNh+e6q1WPr&LH2v14#T+CXh)h>x@|<4C@TLdSnw_V?O0YxWS`q-@2-&RWk4fj{=+t zP)43YP#a2F-p+){Vr1cg7ts?x%d{ZEq~3%1SUAsJGF?`S(nfgONa^CUGx!1Ufc~^d z`Nd#Pm}o00@b<@>bumy)vw|a9rfuS54O+i?lj4%Okaco4m-Q zmR}CmKUTx~$He9M_{}l)tCnNcp(}nJZ|KOYc$QM)jQ(?p1}n~^Q|(ND=*~1pxOIF- zi7Bh#(~}_8vABPDYN#&kIBz{U4m&gEgKxD_=Jl5xTMVb+(=JzRXwV|iS^C_P09eLi zR_CQGTNz!YUbSYApj7)^2L9=?y|o&dc;M9}zfUFJ6u~{u0R-0f6!q5#Jv0jvWq;8@ z!CjI$wAC~5@;PKpx*!tZh=6+VJC=d8-;}PTA1$A-you^+l}bHxj;|iS*8_x%@-A^l z$7KjfQQA^!4mYz#$q`4G@~rLC)A%ZadBYwe$;Vpo?u+G8VBPA^Uru)MBTAk(&V;@r zPLu^F?|nUP3eY=;$Y>RZY|IRL(RkepauEqxXU}F5Oq$iA2PF=ArS5P<#Igdv7Wg}f zV6c3aL9c)QYEcY6?s3Bj74S$f-lcldrmSZD;cUQc!m}zo(5SH(R2acO-vF4a*|}`A zJl&gl?dthQ$5=#)@|ftmQ#?*y{I?Q^wxZN7*3thN5QZGQXK_oV9<(9$a0*)mCImM}l<2%1S5R_((6 ztpHJ2DSQsH(DJ5vxih)tRJG``bWMn$tvvfnVk?$5w{)W9tY2!OB@_t_p*H9x1B-1$ zt_`qk5O(AmM4GRftP4lKYk?V3$LYRy+-uBnj1u;chuY=_DJ9ZjS?$<~&-cmmlW08RhXhYAYE^Tb5_OQZ9qM56=Pq|8uvXzYUcP)*_Znp)!c; zGnJcPw}~<5?EPJu-)5irkJ->fBKcw*V#7M9=_ptSHBJBd>fzzhvE~MuDmepqwK=_T zCY2{devM+M5X`Hf@s8g6kfDSRTlNVG3LH`|L3=5t%-f5b#$s(mZt!0|D~;}%RX2N8 z>L%^Sw$3Qv`c2T$C$41CRX?hXlw0K(s(2*hJd`n=UvIqHfV7?2Dgo ziQ19YAENWQA%c4u({PH!4P};){voma zLn77d%wYN6oy}O&KCo0%VPc|3_Q%^4EoLe4^=MqaQlISlw9jejpjh2k=xs5Y*S&c##T37<*r$&@gcyV?6LBRId**X!bJ$lzgxZ1>yO4SD z^ju4T>e02N^~W}z4qP27_=lK z?d21=jI)8!D$CO5*vIZxj7Tf~GOsJuAJ`pRG4=s)Dei)2S<#;yJCGV0S)Drc!gtr% zI6nMSuUKtfQ>vF{o7)c8>;RUs_8%upQ|WYa|7hFF;N|a4k!ThmX(=IgYCAj_g4BNq zGN#9QNF`rN@xLmbXid@d*}`WZ118KD{~?qW(kM}&8E;8UA%>Go^2fn7xMS4}20 zX9qi{2o3U7X!uvN(Lu9}-flkcLxHu1-s2E^k`*3_$q+(v*9K!iyUU}L;*fGvGl`V~ z@1FV&@SfF;uQq!)FFRPezk;f!elQPl+tXH+Xi%cMnR zf!6N*&^h-e{si&oq9xBHH4q5x_!`GIujqQ2dS}FGN-a9~ zJ-o2Dq}0aNn7$}4Jscr2{{@&(|A`oB@ZeY|V~T*v=!s?K!@J56JI*D1DpnG^}gRSi+y3S-pij1LLEorB80h#+gMorF^f>FJG z9OU9wd@xaEtDV=GlWZdsu8(nR-&uKxt|(I&WKGIUj)rh#$XUs$!|NDT=W2Rqpt%Z4 zvz!G4UlcZ^d9*~exU`6p%I6M9q0BPQMu^QD0E_3|;@6i#y*{PC;aYy<9RGEpQFfFo z)tX~U#}$o>CWeQQbk9IiaF-0F=qf^H#n=x1q(zZ*qd(c#OrTpO#}cvT%v3t#HH<2h zYx%7+p5=ryMRJnn=r{+tRS_Q-ypCHbuQY4G)Q}q* z7i*RB{t_s;o-HS~kZTdkwpG6AIDO25^qjYT>8Id83hv-jSmgDd-s{%#p&Qp12~0rW z^4awz@7{P(w0p*~tm5FzKOQ;j`JQ=H64Ggy;DOm2A7?R zu!%DH6fL@aMEDQQ^#7neCz%;_uySMk*@N*he(8s(5d8hehx+;-A7FapzHpCjOif}d z-J8V^wyhIm8)+Lu@NKU!R!*4&u++v+MAIK%ZU$aa{@)26Ea&Bp&v|d)z5uad^Mn4^ z#2gQE3(J#s#R%6SN4oiZsjKt7(#II7&)A8E^Mf=tXP&EsJP3IuXV6zxS7E>Pk|RrR ztu|Z~df~nC_V>bC71_Ao1+?~u zDD>~i9f~ObF<>*x{$`t(V0&o{+C$v_+^^%_pc5Q1p=ot|-`ck|uo-RtdeABxMJ^2O5e-rN4j$zL{!Ft9v zVc(1;xe#g4iF#E=cXJp`F>WNZwz_uq9Ahl>?6qOvm}b&`($mwoeNAYU(6!JyX1NIb z(N`k6!tV3v(1bO61gzC@FCD8|4Y~^TXzAdi(MjBPYbO5TNIs1SDIXEmVA`oWl2s6? zF^-<7JcI9GiE{?sta@h=6tMY@@E-6JubKE3!F9n%d?m6NA^Vzj{8IM8ldFe_7*5 zHqZE`o(9%txv{Qz$8K)cx|K+WXbfX{%dXqOf~`$HA@-}=-*Z;V@yFBb<}p)c%Ld}m z4m91RHPLpDzc25&uWlzZB%Xu8gJP8dwC_~N8IzR`_Pd4y@QqII7WU7_GAvPL@C}c6 zb6h2PH%3nqw!@xoER{9RmgJ}(Zy-l*6JYh4$*%e1?59|kyQ8PGzPa6mHz{+}+^)Pg zJpg9}N4=WBxf*Pqb>#5Y7GLU5ZxsON5Fp*` z4l1RS+-VvPQa$NY><%)srJ9mzPm@0kdEfOM@>12Bv@aq?sxlN6MsZ`5ITe&*!NCjX{Xx_HczShr}z zd~S8*@9-0?&PWwqAS~TkyS`*tog%qFQ_^mguFKBK`QEU4(o%$NiGapMMe%c(l5Oo< z8s$M=^ScKe7IXZ%8g19(KDRN;+p8;^*ICh6Z=KHz2KQZ;YUGwS9=ZOu(gKGAr#AHl z@$}x0^PUW+hhZ&-7pq1aH``A2TNV7~PMX#ishR;v_OZH}JDY(PA&$lzYTl!70~ULc z#}K`>%L45W2m7o51Y&5MUq)#W4ax&ef*VK~Ta&k{=r@`Qv0C&oO;&Te3eka8zgky* z^pM|PyAt|bnYLnNeoD3}hTOVxCb||+5|J%%wsl}ZPj@l%atOLeL%L$fj^r5X71-C{ z*V!R1uUHyR!nfHUq=}fj;lx&@9nPhYwBGfP{apccz0>SfY8T*Zsr+&9{iY>9mil97 z@<0qvh!yV-*j3p6Xp*@eAo3UgEbPUutSAUHM%PYqzD(>7TwAyf*~52PiY3 z7lAkoFFS$fkgiH!=AG-O|M`;4^G?}b_cS2 zPqrrvpLc3s4~-!1uM<|HFDL2{NVTfSv(fAE1>|IDqVuu3(&vQv;&mX4>ot@S3M(T7 zxxAhbxtqJ#pV$=zi$ER)+HdBf4KmsRv{_l_2antO1p65^=}ux76J`&1L@yshM1xIA z9_X{6ov-wwoi8U#wY;K_zf{wGVMhy((F>WvunY0a!-oN@b;xYO9+$mHTFivZPA%@^ zYQjVO#7iIeX+wS9DD(aBYs~lqWy$&1_TPZ`i}*51@Lj|!RG&v^>=aI6X;Kz*`ZOZ2xQqlX#`)Zr5jPELtn^+FAXVA-!os6mKp(^)TRSp`XaGHqsyTJvFmDmlOG= zhbKf{-C5ay>w$=-@_O4GIg4rZFHeL2!XjK@Le~DkHpX8?d!Hv+N}u~Ip9?7E>j7oO zYsN6n%QbHS6-K2oYE~V_X8a@$kub4D94AS4?J|yGBj)DPgdJ+>GR{jl8=B-|nNb&x zhy`(XQ8pF37iZ8%3+^CZ8_9<{5UM@oB&4bgbF=fQhCAr=6HzzU2u`NBr16b8s{F7u zYSwSe&DsVkpFJzyplU$S>mFe+6)=PS-C-?f&;pC32RR9R9=)X87a7S1eGqCEjIXn3 zD-}jP6$INTHe|9rfU3=exSRCnM3dBR52Nzw=M0(<`ycTrD={}mVB$ew;z`5M zRw@-GJ$@Z-Td_z!FmofysD{MkM}%CU!{o^Yp>|%(5O>4!3N)YlJgi}ZA$iyx_g#%( zX3!>BG`@koBY?$cye4=;To0x_I!t@?&aW%oT0p&r0<9V(4l#()b*c*%@v~M{@PuB& zg{L)oL?)0*o*1;5WUKd30z&VEC^US??SDOQ3}z`a>SJsBw$z9Aialjt(xEmPp|cntFcbrm2TK{s!Ky& zfj5$Nw?+>-cQufJ#gXm_AbLLiq#+ojv}4u~T4fO)2N+WQ1Fh(eoc;@n$?6A+{HC0UJ5ddg z^XQXe^CMWd-EEUD-GND$t)dwt538hEkWG{g`4QDxTu6^NaaZZdw

WRKK?*Z}RAA zRN%L)t~5V#r9ZXOv8zJbtx*>NM5qsucSK^Bp94g&wq*zch{T zxcCt7S25kL3#QLqmAaZ2rITnx!aCw{(^UbT_py5ZsOT?&U`1URKT2BgSWSRYpeD=_ z(Bcw8@R>!NKH)fafn6%f{%Rzqg4bZsAO9OVh1Rl+=Cy*>k~NOD?z6q*4u0rD*+}y5 z0{i?Pg<(f@Sf+F>WD~<=5BykQg4`o(k4(+^FmX4GVQ4XRFv=OoWsMw>Ir>5VTXNUK zRGL33=F2X+1#)GL=>=&bF$9?#K}OXQX1r13M;P=c=F6Oh1@cY(p$2vDM&0ON-kkqM z+JZY!1B7h5f}tsz0b7DRQWY{3{qlIRh#`G$1SeLGcd~Q{TwfoSavev!ep?=}RnK~QsI`}R ze&~5Bz2-H%Ep<*-e3_xVRXx;tZz=fLd7hBLXJt{F0U4h#rSGIU3CdD+yQ*Cr+K6_$ z!nP57e6F;ir>goO_&EM7>Iddb;1zk^2ftW>FVSn2C!-&3NuS>GJcXtgm9C&XZM?nP8PefO`*?@4#vA>np_ z&fCLC)kQpoWBa~EOd{o#1gqt=B@>hr*M&-Uis;nCiu-u9x3 zgedEh+;n5S%jrkipRGXpoQ)*gk2=>A=X3Jw-pv6Fa^A4;{071A-RS`e1=5oPUoLS7 zNN}hy!+cF;dVYLz{&KP*H3!5axndL2Foyv;z5Ad2)6>$hfcz3=7VCUD;uu+CIilI8 zu1ST-#&<5|h>+_H!vN(^U5S1hGm4X!Y~NcLTP(vmQcSH2iP9Jo$KID(hPiU}6{h6= zp6U2+$0=c0cz{3}e$zf>=v+{|TG~a%DIyVgIQqIjx(#D#8)syvARHjM!W)xxQ^L81 zy%)=vF5*_N+l3n@Wc(f)_WqBQG@5ZPH4^*h*xb|Kzc+Z}ZccH_?4yl+y%CRBXoy^s zHRIeOi;o-P>SWoSq{=^@K77~z{7GJ~bw^uIetEgT6FvQ!ypVrGp)Jz@YwUq+9_)Tx z&As5fIBww`U7(ZM^K{;_I}Ne>R-+fa>anv-qeantGdM-#OB>1B>az4}U|MOMpyYmV zoQCj5Z-+<6B>=%4A+@g_4|Cw-QMCLldHexGSJJ>oUGjL;(V3UHeHY~vYt03fI)1vT zr(~&tgHTKNQ>lT)8HWhrDYD9p10rvds42d&o8{1dKX=QakOIckQ%{#|5ZEMq&y(Vm zjrp_7@zhKAJLv_A)5?2;hwuXK+G|g6b)2QE$a&@CRK)l`lt(mm?6IwLQHhH`%l@FU zdQr)lFRRhg;YCmM6r!vv$I&ctr!gJb=nqP|5laH2>fW97;eKnOnZA+D=l}m0`wFN! znq^(wA-KD{6WraMjZ1*wPH+hB?(XjH5;Qmj5AN>nd7J#_+BN30`_IQO=@T4izr3om4pUho_ML%4j?`kWv7+KB zc42P;!q@TqCq3R@EXrULSN{zC<^$X$7YCU=ycZZ2WgR(R-MUu@7}xoyzxx{qQ}eP1 z)%UAVuZ0&X>*7kL!0jEX_*V=+Vx{H?4=2iQl3;)3Oj3tL;qlS~1)xV)cd(Kk9 zzG@$0@gET7CH;0hFh_|TtTlG8z>J<@BW)Fk@F`cxvoDtnPDk81F|=<1lYlvYI$Z2$ z^r3%sx^ZJPR*r|O@#vv;C!sum(9%NPIiJXV;3%U;^fhV{crR?Os&MF`<5A|aDN zb)_W5g}j(A*MHoQT14$Qrbx8O7OWO2pU+UcS|sw~?nO(q6)2yZf0#%TZ2`)RIw%7U zZPq0qX8pljz-2O!fZo@G-Eh!+XK%JApH%W=35rIqh!(bse6wIJ^Vh1X>RH*}HXo%L>*uu-EtFF0F zdqoRpyPClPps__)ahaq(G)fylz(g6zx^(xYk2*7piFE1Z3z!bx3fMqhQym3JCL-uI z*Z1a6p*xP85^dHB$Upb$S%mRZ#)={Ao5_Ju05EERJSEH`pAlk|Ee_wBvxQFwu#Zln z^_9 za5|xwcFqt+@FoRGQ|<(C7q6f~fStqNhJpEJ+3_8d zw>Ize$$@Bp@);xsn?}kPnHR?rtu5sw97m;Bi{kb44`MKsoH1%iFccLsf?t}Y(3JA; zG7rgM>COKYpI-C}EG0#c>J3IB_U>h@{=rh}PPFMGov`{Lec(gj7IWyI-s zp#*1d7Hw}nhrQsrLyclBHL7PKLWx?CEq5`QzEsvu+@;JD88#GIKCkiu-9V z8co2Wo%Ppp}SH9zffz|W75Y#*Zn)K%p-SrG3 zl}Vid`KZrLjb%ylRW_E!sw;)2;&G(%j5?|N^+6UNVE=GZnZ2sD5y5)`?__rOHhKJ# zbyw8cAYkX(85jwpkM|SexW-`-@|Y}6)SKL~G)4^RK29uOAbxnA3_1p%AJsk99D8_{ zqQHS`_lPuvPj5H2BqEcF>e@NZNjt8+WQ4nFm|%`FOJkSOGC_gPkxJdfG52sntlf^Z zYm(gh&v4#-48G-mfxBb=fBI^!bHL?P&T^!H;H(|DVgQTh$zoYLoEIF9{|{tR!2^xG zH3N1S3^W$s1-9`oxKPs```U7SaE++}ppA@GseXU;cMS77F6im@#xK7=<$kjm^#9_A zDGLQsB}<1%2BMv!QR#zjUZ)23(EtT1_fD6bp=t3Z6Jil99Re?HPPGDM>iJ^=G`s`n z#8u_@e;b0p6N&GH=qlYFcz(HcaRXgz54XHBb7|j&A*Dt#A>mg2R=WtWU*C>8<&ne| zi%vdsBkQF^>x64P+C5Duq8AvQ@k_P;QS0li(tQSCQ!ZAY4VdRQMY27Gg8+bLK%YZ?h=1+!tuASBk;)7sX)AQoPF z4QHbnqzF8&`Xw&XF~N27dXpr=C|0q znL8~09TT(X=U-d__@`xtWZ$w}{ZoBBIEY1aDr)_v-3{-a|#6j^25t0Qzi(dkBm$);g@**9Y4)_N4 z21kQKklD(jZ^l=z@YkXb)TPe53Zuu^0oY!Pqso}|$@4&YmcgL=ycTrKaj zR=3{-9ykSJboO52_R}FSu~hO6Lo5oA^fp0{-1Raq@Pw!!|I|K+Mcmdr!N(QBx9Z~R z((2Vbab`sS(A$l{RM1toT)i?eXqCI&J*^(Z8>IM?M$q^Qw?3m=Vj|ztrAqfRVE635 z>T+k|0FcavlKQ2i(RW7qatkI~SwC?hn|LWBvVtB6OB}l4Mm={!F)tu|N znj}-QrH>o3c8xew2D}~x?fIqEuKNK+$fStC01-J8Qj%)&*qa&MsKX@HgOsD7X7dV5>Xmv{}Uqu>H_~>=SmG{ z$N*dg8<^?Ub&7PG0@ANuj-LSG^Nsj8ws&t?ER>x*b55Ugo@A4z#D7vOW51J-%kusZX?5Ul- z)zEo2EeP7M^n4Zz%7f{pGBY7`>=$l4Pa|dgrp$s(Z~xxJFhepljAO-GIN;Sd=(&S? zE+&d4B1>{wBto<)YT1pVW~Kr#X&MNVpAnu09_!I1!Q`pdY=~?*Pnm%?a55q>^zSn7 zn0&0yQLPd5Rkw_{TYw$XmK-C7Fuu#D!1S;4cyb~h=xD^V9I{~WerFcRA+&Kki=&It z_1Ha(3y{1gJX&yy>$X5Ae|!&EdQF0=>l$-m?6?%0G`IwjuHm7l|6HfEJJ5GbiCIot zr{6JKA1d!rC28=>dZq#UIrwWrbz`?4&4nq( zY9~g*YMwOR#%o=HWu@@QCA_eVW>Up3?4dtu-w}3Z+R61z<+van#?IjY1FfLqW-TdO z8>PHNBk;HkBhQ1tPpK(V|%W|?-pTkVECY`MkFoCmB zv5!yz6J+#e7^N}Rf16e%@1Ivdc-5OEVQ7{UG#u{|QnWr7k>glk}+X#_p zvCZ9aEkNKJy^xyzTNJc0=_>Hsa&CHN;VF^=&cNn{y~U4R4V4VyE(r+c047MsX033? zY{>!7k$brU#+JG0!ro!!pqdbO6yZ1OM$s_GvT8xxETvc*vo-p`KE47IQv-d(KT4j` zgzREv15BBXx`a)sFQiO0-SmHraG54bhT~8zR!A65m`+u-onCRINWx9*p-4Eno6P7E z{$f9vXF4&_IDh}l{IVh$6~bciUFRZT{E-aqa-TK4s8`Fk4H?l%UteSW6}iStd?tfv zo1;<6<3_a87E9XBCv*{x4AywN5TaE(Ns~)QmR`3?hCUOT(k1alA+{xlhLG@wz3dK- zn3G`6FFu{QfwpV|q~C0s8s1rI0taIA;a6WiBMW_<1vB|9BeajLp5TrlQ6j7cZRQDC#gqM?%k!;hTg$4oUy%v0h9ZM}aff>f)5!8O%;mjE zewTNkz9y=;V(#($7D_a>tcLTOXps!+74H5~o$6=`wU)sCR(#w`g**DFbidzbUiSX* z1P6R(-H~BKLuv=>&80&|_y;Q4+5TP;8wGmHqxPQ-HKTe~9oz`o)^Fm1A0-po7Q~gP zAjC6OQCf|DEuO;uM2zT8R^+nPbRPD1SgqYu73^;{3hFp>%&jzxcC@ElLTKE{F|>yA zP)f6kF~TU;xN+eaOr<)v3}@Mip>AO>=yB1~)JVPTuLpZCr4xhdjMcz><=_u)*@gFO z8=yL3w8%jUOmd;pY#M~9NX{^vpG2$7?1!L>$S^d`L92X_k$cltck1)ILaSuWF{BuU zpzEd5RCn_K_#Vsb{ge6*o(p4bf+H*@oBC-gjBZ8TYhf4eb*lp{JQ=lYre;Obnh#(< z9Rj^Lb^PA$GGoFb_1KK;!NtkeMKXp#rC6#W~aS4_@u z<79x{OSxD{#4AK@Ig#)0X_!rw3bT<2)+5XYR!}`Rb-_g(do+xxYi}ClHFphx*+Jbs zwzp!7H{G5oOeKC?&9BE=Y5|N&bfDcW^**BJ{?+Cc>#?IdVb-)KdL!b1jW9r9o_)le@33fhALq1&?`a)4o?BK(tQzKutn?F{ zI4I1gp)+mpKGeb?sOR5X<(jaO&VxlDV#Us7rb!`~{4?KEj%J;@*{;x_epmt~d}cR|s`!d%SiiC2F93tn)~TU?i$=ZQHz7b~ZmUgT|q~ z%G$)p1^&QGJE=Wom$Xu}5?q00vdMh;hBS>k`Xrtt)Kt<)m6U5mVV*YL+$+mO>V70n z-V=|c7f2%md;=U5$NCttkCJ;SiPC4gDPb>QJ_AGmzU1TR1}&t)+3A9whtx>RdJ3V+ zwWT3idy=T*RGpQwRatAcT~zRAE8IjmVnmtinOt%vAS~3H(CA&O9L1-|q;%f?if@s~ z8y-`p{%ko*lL+3E^Wz$q#&3^fbQ4@#U#=E|1whwj?L0Cjk<$4;0Zvv2BUyvkeGD!h zLrWtTHFQ(kWlxwvbvTSZ#(v&uVhq$K(Z^MdX09?!PlZr?k53M1u0Xplw&6@z!Brww zQtuOHhg-6~Lt?gfqUbbr2||HNb>>KvBNC4k%Puy@wYKC_aJtY)*|^l~U~4{Bja&PQ zURQqwbi-RxD{|OQsrwTfMZyl@T9H0z=e}x05eWDA9OQ#@PbY{Ni3(1ZwSRS_1nefn zypxR;z3H7U{QQV%r*PHC$Y8i~xql4|s*oNnpwR=9sZ?ZdM(Jmi&hLiUj>r?(*n9rr zqj#lAbQo%~m!r0YqPecdcLhfLk$2Y8BJCn?j=PlQ3lqXDuOd}=g!*2nHq^zjpcc?d z!Fl&v))?XHa9V?0jo`#L*^a}#<24W!7$I6~r7Rgt-r?$1S%Oca%Wl%m<7!|9;Z;e6 zGqZOxNNq0cQr@@qj$Nk_Ug8FjQqrClOj(sSOpDG9xU3sV4z5!x=u8IKUB?khnEP$#QRL!+HW7T1=?Th-}nnTS1Aj<5fr^V z;j8kP7Ha_}*|py`6E`~pT!!Ps^Rlk9(RunrbY>15g! z-1+|nstlGKRIM6L{#O4$9svfPR>Z*TZ;W1MtKaLe!Cx8}ETUq!K+!D~otqL_#PSeB zt1*?A{PR`C(U;*T?tK|jdhMeQCLlPnInfeZzcJB?Q)BzpE6wQ-AQj653CShz!5lqSB#l1g(8dlc$~E{0*!y(}GLZMQ2oJDNcQ(dPksW*e z*!wnkp9Lb=&eF2GS+KAn+FlHP>}EZS5&C2{8j!p_>5I__LQW!&vj_LJZXXx|Sb8{wXoG2j=I->o2_7eib-EY`^v>VG_F2 z?!YUAzc|s^nbu=%2(}nivI%9B*sD)~7R*s6I21^N;b|g=yF+lJ$3ltFC{e5aXuJX@i_2*=+}QUw)L&c zs6eL1OV$F&+B_!DfNrRy1DVe5qQ;*ozLc$#G1G<|y>x^wqiIwbb>k zfz5#tI)4M_dB6bc#t}9Z0O0@?G`+-|;@^eVn#9HRgPv_hHZt--sg|X0hZb^J)^10U zP1)3Lhgh7UXw~@sLC5|A@`hmqdVkHR|L|IWOa3Ki2cj8)qby)Rlnk-sRj6eKc&#}2 z2eoalM1N3GEd-zbW1FR4i2n}{gA!)C{Ra||h&=&A(_O6V$4mu&UP8-{enf3TLY6;R zEu{=#?3|R~oD@j-ZZpRJFP`2x>2@Zf&1`B7ISeE)p%YssA`U8-%Z9&pOBgwZm4I*w zm7YBqx)}mK3P*g~kKuzItNr+t(9KAntUtQ_SFEU-DSW`T=x026YchFn6FF=(#Zevk z2hj}umvgq~cd`Hf@d~##FNZS>jq2QXpb(D}#_O zp%`!AH|=R;kYe~te`)byU`Mp!N!Gb4T33<1EMud0yjU+nNUb-PWf`jOiC(p~FO&_Gpv!h24 z=1rUl#K+{h8R+vPt_v9Ru%vCLBJOhO_u-6J%HP%Y#$4d2Q&J2Inh&ewJlqP7B4-bi z5-|=ZXYZHlOAaGvf0Gi~qhfF?Gz*eIPtaVl4GTI;{s%C%mTZAHC79Ac7#HMH^UxyX zKTEl`70M5HClos27G)U&H|IB_-gkr4mb>~OUF9zS>I=9=&2BJrJ?%O-$PWVcs9Y#w zP|tUv2m?*-L(h(ZF?>z=;A;1iL8?lGEx3EKWhq*LWofuDTQ z`zl`qcu@ZxWZqVv{g~b5ALshgZTKC@2Q$k~E@U-3HW8Z7{m!3oXkHw+YdCroBALKfNElzj!2%TaLv%Ut_z=XJ2-5H~6PY zh8Tlpbm8YP?OJ@vq}oB|aLZvTBtNsUJdividiv|_w2ZF_jK4ZL zyU0~&>hVAbr4(PloYT?& zybV2j^?ysj!|iuZsYci(I6^cr9!ZV5*v{PPa7T^N-0-h0H*Wh~knhTZw=tNmFzR0} zHxX#V_Dhq9#jZ!vX3tLa{NGIDiCi&fTCYjHXQUvK`@=QqYfO1G>Gq=q?jLJBF^T$~ZRDefx19SwXa$b>;P=Y)BHE2%(ena`Fe( z{FyN*@BvU7H?b=8Sh%W@omJn$tB*BV>TEi*U^JxP%RGClKIO zPTtIsP0>D??tOI&zhc-_3;Gs}@pt=MlRlaA4+)>JAZf?gPkTTFERbP0687fa!9Z0> zGMn@J3!k7MXvdrc7)^U%Nu01mH`z83K3kl@{?wLiDQ1ptLb8=N!nVqyT)x3}Og%UA zc!(WBmUB)rMjY7H+!1qt;(n-Pjtl8zh=2R0_2g^1A%K-~9V-Zvg|>)0?pAdbxyX=s z)h^Cvt4=+YFm`+}Scpon`-2@&N;q0jJhz#X(Mz0oHyQX2Y8@}}rZ%}hjC}L{Gb-Sh>EYIW)Kw9ULgxT?}y9OZx_(?6h0s~9FtsnZR(uXOV&9-@nbYg zvDWbbt#b7Vg6@;!QW629U%dgWh&1E(jBbUR_QA*l&5ofY#EI7Ww%zbRyfiCyO|kPA z+8=;mogJViC>WZDDSh^csz9*^T3kCzJzyOL=a9;q62lTFhorqfuuu?IVS0ZYAtUIb z_vSJl?24nn@tt$uP979d3Cabt9uQZbaP@Szb$s_t$O}u~S0Jva8V~>acC+Ac6jraS zQ!|GpwhM5?)=bvB?S`A=soq>A#i(4B?tB6(o|I7P{cS+$R?p=7RfqnUbqhthw^i6z zLEU^!C__-JqNYUi=1SBF64Nl!J+#UpioI=1zVBa4uSgfr1H(C*5>CR25q4zc4g*=M z{Y4IUS*wGc4#n8?dDkN8nkHIRbShI+bjTBz_mQ2>BSOkh;;2fgXVE~6OR_cly!zlJ!~n_!2y=U{<}W zqe|XGWW}`SkoxUl``kmSLM>bar6!~)TBSE*zeJp2vm&!59x?W~1uPVsq5u5x0g%Qu zN_W7B9OuH;9md18ul^1h&>LiEbK;z2f`lZa)?qKw)Ip{8fGLu4B)bxcdGgTCG=ujf zn(G5TR_)1?w@imz&JUAkN#S38>-q4Q@vDMU4Ae{B_MMiuK)mSo)eUpRt6)hhx$P+e zPAj0&=v$o{jmEn~IXq~3dUZb7lba3H@|M3gPM)SqCU~;qPn6Z;wpl=JyuVezN$Nwy zxVf_A8aTHn_`+JM5rH`?0-}+v^-{-Iq~?+FUYDG<^(~$d9if6-#wfx2c6Ytq%KI-b zMLsA8JS+E`ab1#q>0+qO^K!Pc5e|_-ZD6cps`2INoAR0yOUbqAae?!Zh1muR)lFh| z%Tv3%DCLVjl#+x=|{ zkhs~P)f1ju?M1I=P9O{}F@EQ2M`x);cZl7|$np~>Qr8j^rsFH~aC6YuVkDpYwDW7a z_#S&0mPI~c8d76nZ!nD#my6w5BIMnfr4~x=ZI$zeWKjn5%OE1AkBQ3kPJGUk*L2d) zxiabP1~)&)w*-nUt||$_9$)_0ZgnyDA)3l!@R!3u0Tg+)T74-K?8E4OvO19IZaxh; z71QU)948MJ9BO!7!tcC#n$|d zS3&G9Yc$Ti@>p&RsnDwyyT4XH(nynOh`AEW7<(at1>s~6JNWJkLca$~uu<3F>a=oI zE7lzkmal#DDTO!ygY2(fmCrLu2AO&fO2Yg01hKZw-%iPflOz}3#bVw4A%|_2Ghn4= z>ib<7S2|8N>kI0ik35xjC>`ciizlO>rw4omoc(y=dvhC*5qSQ006;rq4hfqX;r|1= z-V0d{x`5|BZwPRURyu#(Ne4!VfM(#IdxGBFGZ-iv$yyRvbKt$n3JSt!p*^Z3U}K+RQDpuZgn3liY23wRX>Dqf^WDp`aqFImJW+bG-bxX$8shPc?Z zN9lEBgwN z#{XYDWi{zfUxRBGS%?Z`2Y>ZYu3lQPOhXJ(g<2#OGko>1OTyBdr@N zMy1Jd15xqytLBs;CH7dA88ces-U3@H?2zLL&N#%PqwAAXod49*PP{GQG0pH4a`Y?0 z*8hM9=UuZ@A)jC%1DlToN+KV`zC?oDEdUZLClWxd$O?`4#dx}*ykbRg*+8H$>L@f) zM?c8c4Bn0X^4S?_#~PQIe`ir;=SG{3i4@Zm1U5j#9I&3RYwCi+_?;n&Dn3rKZ*~1Rd4G z57FdN6MuC|G;5Rm*JB8#-D5-FBNjQbBFBTYBG!GJp5!`x4tZ~9t$Bz2G$wkw&Du)# zC?vai^{OSSYVE7R5Y*kWZcNkk){4Oy3&bD3Rl1Fvd+B~t0z4{$8jI*-Ckc`uw;VqJ z!dP0v6?u~GM=hOu9*HSjG1u7+&!(-{X^eB_Iab*hUe%A)j6&;43Y=^6Qyfz%-T=$^ z&Qi9w0X4{Bof1j+x+f_>dKmgP22D_>p_iI(XM$H^%TP>xak={*!D>M#V}{+Ia**~_ zS&(fEEjR-OYLGa8pV~GEkM=_)kYfzcX+%E^Vmrfwmp57=JtL;5rV`#s2x66Kc`Gnv zn#Yt}86lZb*J`-j1sa1$ZP0p0uMG>x;nAy_F947XNyNF&!wVk-E_TT2+iSrH#*eNJ zC^5KpLPZc#$TcG>Uv0((jXT{6C#9Czv0$RZlmc2I4-6m1B&v$#*(5(wcx9M17aHJ* z&R5ZWrARzSiGgC{7E8%1h4^X41p2oOIG|by`laEx%@(ZrkXx?P?Sf+VDGtxx2SF5f zMek{8KF0X1m73^DV9forXet+A6#rVUE3z%yGCxBo5d!hWGI>|s? z@6AV*@TpIezoD=EKzp-(QE^JR;3j`SZ4=0}W|5~D!1$3FP}fcpFO&}d*ee2wzrcH) z-qg8fz{Yp&dQ$MqBvQ7oHiNaC|!zJiE30S zG5{<+g|-BvyTxvN3+``L$B~xZhQFv2WsTDY+=s$*9WFOT?Z1kWQ$EjJ+!QO=j%V!& z1g%ER2DW;of@>nc7XiZ)2j`w|teliF&UcU+b(pv&#o-&1=#^gyvP0d)t42_|V=?D& z*(5RDXQ@2|m2lxnYbZ=Q**v$h31LPWDH0EEyQ4ik+T@g9@6>~Yril{|lK@{WtikU{;yj1oumdyLmdt<6+nD0GeH|L?$^V4(glJrPpu>mCLw0bqZut znH}6B7btEWqFu+KTuj$FT`5L)M8{>m%W$=h-d!G@TlcN!g1%9mi;1nW>@A`uvLx0+@9k)sS&69HSU8?OSCt{-_|;8m&q`AGt5Re&x^xZW;y=|ZYfc_(UH2J};qz+M375xwm(VLjt^rvo zn_nC$znQ9xkn3OzUt0G$3H#At$bIXOOqfG>9};_fPvX0K59Z?Ttt`-~$G56fAC0f) zw!GHk)s8t8lKD8;-y)^=3O_ZwGkdnSwN=&s&doH+*X`9NsOb!S_|oz7_5N%DL25?1tMIXV4N+Hp-n+feZL3Cg> zZ;^I@0KyVxghE{*z2lo&ZikYq?c@!*09sj7SLO~9JQMaxX_cNS{{zlIL?O?EaEdXf z$E|&J1L~4y9D78&Ul5YIreSvvH)7-SW75>D`Q{b7i6>taC!yk1jGqbqji*4KLA zZzfhrJ@@Xo`7LImOt^PPSMQh3KJINjJ>QqJ1T#Z4h}tF6^RfyB@tRp-`SsTZ)h;^S z9Nb-RSYZ|?&tTG$&&VrW;=g@7&JHB_nWCj;3F3+cNhgS(uP5fut(%soxzuy>*{;j8 zgjEeJuR6;QF8?WJmvbp@^OIZd^HWk<->G6wx;<4>@8}(_}w>Rn(H5OnB#WqCg?uND|A}LR|HE}<5ISLNw_UP z;0_eBBjDLR$K4%Ox5?ED*log%x!2Bem1%7>b09gsq{TGnq{PLQU}2B^VvapH%^dC* z)rYo$h!Y%)XJxYSGz#Bng%Mzf;kDBx*`d+vO6lQT@P(nvZ9=4z4!=rah5b9WgN35Y zy1VI^gi)Y+E&)|{hZrf1Tx22^^W1o(jXyy#0whm0*=MRw`dt*G4p5%qmTeiB3 z?j~PyzHl96ez2I%UI05oVu0U(WoYdMU@Fq(6Rz-{CNGbf99cz8*h*7W&t>DFU3>%I z?y?o@Ttp0eu#W5b+1_X--+41r#4o!al?hzSZi(?MX##$m@oYZB)v3L)WG>pKub|5o z)tobV={bPqDti#+LJR-Dz|k$jSJv}vD2G^gxgjmvRm!80iu+>mn}wp|`=M1ult+4r z#y03vPO$hQ?m=jGPj3h*$(XkJGEl#Mz<63nt_gA7q@%UdtI+#54&XRQPCw#J^FWe z<*rquf5aA#6rE?%M%rcdj}@*RCls%Hp&4b~Ka#^0A36osdR#krSJ$Q|*ldyX*g}df zVLHYtK62QRogJR;eHMoec(i(ZoP50Jhrh&T$zInx$)N1sDqlO|$X!=S5FR#06!p1Y zAa$71^-A@aDwDUi^L=k~Yq$E!xB1|K;EJu^D08F(+pghnSUew%Y-7?u@CbYvp_-W} zTfRnobyne8Rr3UT##cBCfO>cY-E$DOC{aqt*xQ!9D>GW)A9>i|qMXh53jjO*fMR;Egj9A-Biw%tz+O{cx1zXlZG6$!%;?I3^=qi5AC7MCK0MJ!Yu|AK%Z4qJ7xZ=!Z1G|>A_P3`Ky4Cy zi#YoN^${x(FIanES{c~&IviT&&K1LefClki#eJM|)Et z8I3jTcAl11?Eshdb3~Z;cH=ue0zgpw7Ub_nK(dW7Ii-?6OgS`Jyp3n9nkup&dC`*6 z#MH30qiKn07fLL9SfBCCxvL(TtWe_w$c+6GM^TP0<64B)|I4!ELz3MaagA?zdd*$^f1N@UEW$!bqV*Ssl~=xm-1PVFjFr5tb%h?2q2=O5 z!_i8^VTK^E+mn>Ya5?s|=i3Y}L%o$H&mURI^3FTB2N~|Bs+|<5R7J})@zKt^sg-Y) zs=Xruc+sbY!Iq z9-%wF7pe}|SdtDtd4sHx16lx|umC^V$BFy9Q#dbl*Yx<-U~ABfp4$*Ia3L{xU&i|1 zGt5NAg)N$Yk}u;Yn0w3R&$EOj|0Ktak!HtFC>5ksc9kP-bEZK}TgM8{4=i{Z0GqPL zWdAhNrsQk$WRK2_W*Z6F6{qN$_(ycs1Pui_3aq30sy9jpiF2=`VjD}gxoPT`T>_#>V{|3v3U7>0lVgwHBDWZCh20_2e%&^6v4Z62rU>8A&#sWA4 z!di_GYsXM*HKk*8K`fBkK>CW{LtB*@b>~P{XJX<6ou%RXgOuNcv$wwJn-x;wk4STs zV{J)&3M}wIi9Qx*`~-Y3Xf8Ss@7}P@$J{P3#+*IhG=NuZIv;82!Ri)yQL zzO*g0fHF3xqx^VfZLXz>>2MfJ2-_V=IZ(dRwcRa~+r%?;#;bt7$J?9w<%7TRoZ~+WiUxIQQ;T4v1;3xxP5^ zACKym;Q}5m{eaUWbe_OG=2oj&rV4E?)OdSx@-3-hzex~LH|fP!4CX)Co49^>5Y@;q zH)=Zs7X7sjead$*lJj^+2P(voi83wPy(%UA!^j~;Gm0xh*v)+BXeK-M)Pp|GxvE~s z5~kORug9!Ml5PyTK{#yD=n`&BKH#TYjB>Oz!PWG+%kuKz4YFfhY#3)bc3D0_0|j_? zF-U7R-oGAmyClbK`2CYtJRrK954*1lKEH=WFHAW<_O#62#9*X3w_G*d1Kb#!4mN05 zkB)T9Gi*x?-dgU{v zgY;B$`LjIj%WpXn&hcY($NowM62Zq~^y`3{e~jC>vLvy0IT|U_7`a1oo53+r44%Pe zhG7(rWHT`#RQDMO@;E_V`1oP)V&X79L%8_LKxk=6TUXcP2iv<7(T-+O9kWLFqNG9_ z3h$K#^C=l%W~5o84luCh)2Il9sx@Shh$*zaIE#Q~y*2GBpQW6OfrXL*`HbElVscdl z3Ju7>e?70#!KUnworc&Ge-*sz(tVm+q1g+w=Pi0I0|hD^2;RLbj(D@mvqZ9xn<#A^WTc;fK^;56}PijP-R^aASLKA9?^jpeRcw48fmSsXMU z>)tcH=JMU(-QDcB!`oTyiQCjK*%nn2zOyPZASICapnZHT&f@V9M*+~%=|ZbX+Wn~K)S2x9Mch59nQYQ#%rCtfnc4EPzXmth z$hat^a1@5v&DbE_%qEJ*-R4vFmkI$o3tgYM51L-Sj+|S52AQ9APr6HNr311ajI?7- z6*DRX_2?-{=(>5=T9{%Z@O8(y26Kb@8g}|>gEOj(!3Ojot;oD1r22lSMWS$!M_R9Y$t5{XYgraKd{2{+uts6$Yq|3L< zYO;fmEh@8<=V!}3ma(?wx6xyo*iF#I&B_Z&5~#CjEe;=`!b@b*^(ZE)X}8{$%A#2r zh^(4aoA->XTt-{n3NxL!P4Wg+)zRwDw#&+4f7@QE%?HwR3dU_=DyqYpGy|)^aBQyB z=EA@>^M`J!m%hEVmJzl5K0RbfR1tLDZo`@ia3dVNQQ@l@xY6db8)%4)87eu}8kRIx zQA1x7FyP0KK~N0xKU#i1E}JYeZ@e4;z!a5y^MUIs+%$JgP@iaNGP{0yvLVrSLyc-I zC5nh_jlVPgMQ~Vmh~)Ltvnp4a+6x_#H5>|0JRmy1SJDW%fL@V(i5S-z8BqY{35tX$ z2y4C}wg&6^;o3XyJJaYzk(Y{(a*y!bcjRkCk#3_j(w%-9R*j?K4G`c2pkd7>>~;^2 zl&w>UbMUEz=Mf)zDhsbsh`XX;6%Ze~DpR_oVV#^R8E$1X7-c$sV3Qo;Z2a2!d!Uw@ zFTC~;3Q8SgS+p_09PU(x9oi40-On73k&YcQA0yp=0giwK?qs32J&rg0x!vJb+?KwK zJ5L9qFOdMu69NeaA0_oG3q`B2VLC*T;Wd_;6C62J#db*s{`va2i<&^n7L(w2CnSuc zt$Y(kHne&Mm9y|3rZG-O>IudCLVT-h)xmXiPYCIifx9+M(&&8oyPZkFJ zdV_v;9x^EOg8MMZM0CF$A;uJwthxR7N@SYxd#_a~R;p*jqs@+JB(rXBZIvTV%7Yih z)g~29v$*<7XEbaK7Rn+=pUN!H>J?0Z;UsobhS8-oOJyBt3t`TrZ)*JUx-pLE!S7tv0-#qMuttWtqqv+>T zHjHmPHI<*|7|Aaf5j@Fq|4NTQ@pI{>!TfJUA2P54puU@9eEOs{obsXPm_Dkh1%ECz z@O{k9kL^Xe|Iy4Lp;vQT=uT|^Oq~^;!PSz~7j&nR5}A6{@vIyL7S}7arbC*2MncFA zzQNU7ukf}PU`2M2*LQGZ+i2VkpLRE|_wA$YbCQAeoiDv5w4~`|Rhuk_*^CMQBs%OS zE4a&+bQYTvH}waEqO@a|OsZ%?bgBxHzQq;+_`g!!DfA{|)OiW`esL0FHgg7Vtew!IHqduFgJSf)^fwqM&`=rtPyZRg_{+WA4=v6*arS8(6?liGkyE z>stIP84RUa%k^gC_2#XEK)1D{i@O&|{>bmWD_Ez5hOwO$62#~!O+0)9Jn608@n1b$ z=9)8#_*hYBVUSygLBB{J5o1-&x_&^o<+bQDhxHDR^tC{Bv)EU&{aA#urTHe#b>^OV zC0gCbI$nrL`1@)KTlD&P@NCU*{T^*DS(T!d(}2+Fe1ELi{K~Xpw`?XAPm4C;=KAz8 z1q3C9Rk>P z#~Yk#rB(OyGhSfj(n{8Cfe|M_%SWW)Z{+-MB;;>o>~BQD?xRgh%Loz_T*RZ(gzw?w zq7jAgeit*&PS&JXh|e85Ti26T=RTd%p;gN?|RKhq%?cbf9H@%Xz;Xq zC6F2a-IcO%Wixr6cika8VL^ud7~luhgo2RB0V13|EI&OJM+WRYyFzE4_yIlW8^{T0 z@y*V9C36(UOj+`&%)IU^py`*66~r@9>VRNDk3?%ls)^6sDy9BrKFd93I@ zQ3@3CFxp3r$@`%8zr(--73dMJH)3}d-Ro)uTpX~r zjk`N!2C0V$k5Eh#elhrZBx=k06_4E^vPHtS>tUWyl)@4clmVET*;tq_5L(b699qyU z9Dx6=C<-b#keJ}rVn$bMZX;WdRt+3IU>7!DX zlb8`%NQyGL47nFj3Y07$?DxeC$JhN1 zcCv=CA_^+CbG01gTu|Fd&H?w&vhehH2}QpvRdXg(-PTMN6^(RfxfM}EmO$*C%KXGm zZ}%S?*~bbYjRzF$7;_}p7UySiJ2?+<{fS>D?h^FIkjFCGX6j+%zcD(!%&nY>jB!cj zKdnuuUNnv!mpL7``y8J=Tj#{ep|!Zzj}?AGa!G3xi}*i$y#sJ1LDw)GYm;nj+uGRX zjcuD7+Z)@qZ9Cc6wry;jO}@L&^S)pG_19m2)#*N%o}Qk$Gw0OpKIb%@A6NbQ{TWnL zI0S-TTn9rs#4ikcD+G)p_L;!N7Nxij9`qu+yTHZlv{6Hn;$-w2%ircG8U{jE=Bjb) zpKwY%{eg?0jYQ3s7)4JLnvmo9=F)36B}rpu(vRl=YV~;PBSV(rO*O?ISJdB>c-FGY z?+bo=t=FGCFS^I?fDa~9(v&3U*~fe-W5`9!ZsGm{z-G~PxJH!ZZ56>Y z{w|Vd6l-koQJ(Liw%kc-$|a*Wzb1yU&MJP_c3Q4l^+S=Z3OWVL=i}X2vM1vHnhz0F zN75BW%egu?q~EU%gF#!a4t0s_B_UDJXhmGEuEg}baN=vV1xJ}UhudcUq?9xtg-OI-mJjb zuIP-Oby%r4Oxw-I>FhgDc7N@Yi7F?P45BxLLI7l(Kb1=rFaWK03n4?CMb$flvRBDI>_E<3u4%RO4_#x!rTr<2AY zQZPE7(pg6TT65CFEOFZMv{KQo^fsR`8Z1wT_?|S~czgC8(pg5Jeq*qwHsYo;1X{X> zG5EyBB6_)?wl4B3XQbZ+be1Q|j1=bG5(Mpyc!SK8&vnXF-1)hENtHeYc#)6le(XDk zlh0W#Jomv}6WS^4kbFRMz!S<#VJ_vxO`Kbf2sLtyEc`H=?*W+OU9}+i#rj=7V}yPR zJmWZC?g6RH!)B4!;w?V60wUPsV~PItO0a9^0XVV6L4nIC1X?g6YnEJ^B~axdvaV82 zp7-stWaDvX&wgY&3oj_G4_N>HwG#2I79CTMcHZ1Hwn_WWep-sJ6aB~yS*3!IBoJI_JXH^MAqi}02~uYIVePQl;LO>T z?VmLr&CjOd^hg|?LHgY;vH1qmgwG15fxsR@Ggc+AY6KV*3oQbnNl1-s;)5j?mlNVC zFVbB~{Ig5$f9d?~g*Z-UQptbv{QiYmkW1XxT*QAsxK17_7)N<8fPXL0!cZ{H7xQa4Fy$982<`0Q)wh>Ndnnq|9{+l~INGa8(SB_N!55o+@DRW-bHjKu zK(!)0H(h95qA>)V1pM8SY_K3*XGd-^K3g-q3e{@xa)Nd^f8E`k5(aRjTJ@z>AJFI;@Yq{?wa?~Vr-cq|YcDXek zn*PrbwAXR%XOYr}7LAlnhae^B=3=(8X2vYmiJIw?^Csen*#A6gn_6npewF7K{H8GN z6+^BTv$e6ZRi@3g~~h z@;ypLs~4##9AA{ekldlNJxXTF+Q*^G_O*fCPL|u|fYRrKtp zKt{DJ5zA?)ja?$j`hSpxNdGAv-nv>}zAfbcAYKcTj0Xv+wc<@aezMf-xor7o;G*ZB z(*=Yvb^h)rU_LT?-HzvGam6f&cdZMbYQ@R3xt?XJ=|>BFYcy@Irdqa$6Fw~jUv*1g z7Y_V#^_yWsr;GYUEyRlu-bFRUO7XW!R$ZGgz|?7--G@Xpu-=rCJ+0G-uMhXeIKk7T zQ#JV+O%mCRtXVZ?qQ(%J$EXTs>NvEzU9kv6oMi())Sjqc|l zYi|BX=+ME?b~9N5{1Pb?bRg1TEHI_HkpRnz;6`<;lPL`E7ArRm1(g4e0F;x*0Lp*G z1Imw*0Oew7fb!x@z?#+}Z0^_|aSEpux1b(o(E?9Y8R=Y)#JNxE!aKtVj5NwsXI2R1 z@7fyS%BDDwKOV0WJ7gw2_uH7Hmz(Do%B?V9YOE!C_PNcD6Ieh{92)y%hI33 zQU$M!jyNl3!=5?qAp_(>F*9W9XL3=t z7*_MySpTRDXG(F|j>hh^C`)65-BFe5+ytcw^l;`*f4v&XsB*4uop>x|C(m{g=91$- zj$%fO7X73HcqQvWA4}EsDtMZd-Y`|Do)F8h+F%#Kya6r)#eLlQY6jU%^mTG!ZZ6i7 z%%m6R6>cs|ogFMC@$oMIhyukGLtS0$h0*k4;uS;LTuTqfd!P$D?`!{`!<6^p{O_nK z@5!_`51{A_s zsx$$(o`t*L_EWMRpPz#5nRWao5qHv0A!ZksaM{1oR`;fMU9&;5L%ywO>Ws0m@O@k0_MMFEhpOiK6 z&lQ;#?r-r+Fl*~F0TQWB)pyrQsGSACyj}Hfya|0I{Q=~14Tde8EjcWH8T^!REZCLa zB_3m)VsAwvdgjnKK({odfN6sfqes9}KD?VPhGtL@Ls7GRlJLD+1UE1uOv4kQMaKF{ zsaQj=0)%ZArry=OIQkBlGH-glxzl@P+1lEVMV8bAKT?Dra(P_<(3q?ivCMy5xv|V| zmSL4#ivH3EpQ}dI8dPe`dd64(i;(P83pPUx{y#Ya8!Pawg5EN8g?aC@~g~*d4n~{xs;}B9$=dyi^@lMHb7&*5{<0T;0-2S&|AmKNIrxP)l#q+K26IB9(UXSc=VR!j&Q~!xeW% z9bK(xa;Ta=bFlumbmFl|$wy^4nAgjS`?QZ9t-cXlwpv_UDYj@?hS(^cAGnk(N(=$Y z+|9p8D3TlO8}_jibf4zM^$wJ+;c5{7->If89P(SJCU z5nCV=1OEOIJc|1eAwsmMpTeDO+V^P_xNSC1sRgxU^97 z+KKBM!&ip4{NP`;Drs9UxRl1btWdVFRcy)l?s|ZCN%4238MJ5fpk~z3jTb9R>7k8| zAc`~+`hIkuh^WE6EQXGtf;2Mpd~`pb`+rzvA0HVG3fk~tL$`vTtJxNKuB9OZ@jnax zMkr#P&2d`Dvm(BtZpCfZwlZr? zW;P+~wl4w71t}G^6BRFD!WFH*hbvy712JCs&n`&&@LP?M!MkIGSQp++RpX1M`alfL zk?(ggII%9;JFT?F&CJWA$>V8E(^brdQB@Hw?jISYiT<=L_r{sCJXolO!e>Kew>-== zCh}2m52(iLm3mWvs5QnvR%S*jqv_TaBxQhft-QJkgG)ESl;WhSU22}@gtLaZB{FTK zhW5}fiPee<(m-}Hz}!UKvp@J%+#WeaX*AJrU>@UD2B5M2Hro2Jv9j#IJyMR`i1r2u=93WI+`z}cgUBvB8MXXDq2OBG|kf+unr%=ZHQn31L&t#<|9fdQ2 zQaIc@dP+saY{tdCE;(7CRkP2>wD^n4PLxwD3aK{rghh{W#XTrUkH}#15zSRBu zp1@Vj=k7d{9^-@Q=J?2}vHj%^hwHm`G7HWx3L_+rq)ps;Z_!d%gLE`ckxhjzr%5mj z>#PPQrDu!6hQRZ`+n%vl@+KKlF{8!XsPKu4UV(-Qp7Kn9hPY%1uNKSJ#$JvXBiwfA zwim@$um!K8YGq86#%Th{$@OwZW+(NozDfF9upi&8Sy@-swh5&6brk)M^y(-AMR6X1B0FXIE;&1e?nI=4>Ye+|tj&FNRu(yJ zZ$qGjjj(28f#dxfC_D-ld0kSUG?+E4Gm3`J(3~w8;`{sGK}`-=KB4!Gx9qKCkOBSr ztFnwu=ie^W$hfcE4LF$$Jy{TvXJD+4+x`ks;Mx%(#FDp55ejEE#)-Q_n?To4Z1UL| zjFB1ht;5W-fTp5+5GvYfYbOHDqPrNj!apBK`>AqCc=HXT0lWeMO>+?^!u;R?*& zr5x0UX=aX~=V!r4i&bkgZ9GEB4}Yl_8kkJ+T^Qn&4Ef6W&{;I~?WW*qL)FZ<7D=MjP%5Z)?ioG+bIh%V< z*H}YIQnKYW4Wwc&A$=qBQtN^^HS}xCm+=o|u_MUI(dX;+>fqO=$LP9FiBlrJ<2XQY z)VlaFYFRx)RNBlRgvaN27QYZ!AtWbL55+~lk8}8kc$~$ox{p)eb#MSu=`lP$xBle_&!hAyN*?v81 zORmY;%E^@{TJArf#}ln5a|_>h*!65PH5)Z`Y%@iNKSh_FqR*|R4FpfEi!Q3-hXS+? z@GWfQ(ilV2NsgWMqW2P1`&rg4;%s;0+kJ%VSoX6a+@R8}{)OvZD>sMc3&^HyOgJ|^ zU~ZBrunVRUV z)tX7Ob<4BTA~Fb;?NIb9AkvGD2!fuv`(;Ns&3|Nc_mxuH7Red@kt4+^*5yZ9R_$t9 zHOVQ~#Yb9}#A*wUuAtfaJDXbqynbCh$3BG-YyW~eV^f#y+!No1w)As$w(k^?0e}fN z|5G}ne*LwG{n9|o^coONInDB4YO}($7WwE+vk0xT+}fuh|AmVto$QoISAHqUi6zT+ zZhH07qw^-6?v%zsT#n60jbn|1*0Xa-W&vYi$Et zhu-HuxyXYKby)aW&O}7$qV)^(RZXx5Jx8iPpqFteJ=~u)jn&%v=n{}+|SF|RYm;Wy*<|8J!SWH%Qq*ZLd&8EoZ zS#eDI6AfxI0IitG05Q|@U5{9aAdlFt_y%5w)~u4PW65*pe0lAhebXx1E`YZ1109N0 ziciQ;GEsUv86H*^#G0 zCIt?rKUY+NDp+OO(1(RYN=61$zt~|z=JBzl-O!|H;729uiw69?4J@_;@;#tKj`6WF z+*lo@;oXz*Z~1w4i(UINuSRug;7|SWN(Po`9Cj8Es>DjahxG?j*DPRQN=jiI{%94+?AybetNfdcJzkH@Swk5@0}aYR8{SF zIzxz99&CYIiqN2n-tr*z-v~nVf+K$*{y&+RKuq8pAgEAZ7$yb0_lRCJ;JpitBSKK~ zL?HZoO(d0pV*FM|C&{vtAFz;U(JI9dvtYe8hv_LIBs!~iXap&u><1kxhQA*xMs0=? z8CWFQ=}cB=r5skley}5Ypp_h;;hQ%iNK00#j;z^;qRca%TV#2~`#W2VPq(NYUgBDd z$<-*P)T!Lay=yhY#??YFwM!>gMnrkA#>XuO=%oe>Qq!AI130k40v^X{V>40ajq(s{ zFlXEy(Bt&uw*|>~{;wEt{L zpkWhpgVF2j;y}utZa70xTpo-V5(lnwF1&I<7P5c?^no5$ruhi;Na7v)-_w!A6Jq~# ze;@eoa%dD8_E{rZa6F>KCvUYgn;c;YaZHjJXNV!g!iY#1X?EeN*f2R3~ zJ_#(OC>k__#T@V(V+kg!84yOH@B4#4L4I2*)}G*ip*^avU*(A%_#h%(3ldau`|mf6 zdev`(L@cs5R4U&JdbKMMNZMbzg22%SvF8Y3Nsa8Bu<<5PE;IR^hcdA+ZYzNpilC@G zBCGiX?<07Jq4bBiml3)iYB`5@9T4P=T3B2l3FkXBIUx*;_9HC#vW1aKtzLkmS=t}^ zJi&62+{SmQHaXg$U2LV8e(&-l}0k zh#U>)N~$q6m|mNKh;8+b9O%Xx-{(juK@4*5OO}oNRE{mIA{a5@%YpjCi;!mK_v7Qf z`r-X=04C)$W6kqjVxx&A4x)b1Sli!yRzGNVQvfea=+Y3fW$eKU!S^M;*bAKFH5uaZ zJ?9i_lB+AH(ng(lXB9v02^a1OHd>%j1qui(wP()gQpY?V8#B+GQzn20ENj|v?aG;Z z!qln7OwPO=2o&>k*JpH?iNN+bklE9YvPBEv^5*SuFiB-c^E`0C7A!@-IJ1u`h_)Pn zZD8jefsHKTZC3b!GUmMK1-$1vmf^PiY7439{#acCiI5d6 z@k~x&-*IHXj@=o7vQ)u%>3_+v6wN=ahXC~XS1SK;H-#Vh7hINXMhV|DDdIknRknW>#9#bz& zF-v4+8X-GD{cvz|aYOgg5mV&WRJ8!Ao;{=yR(gY^9+Do zQ>vo!Vsr7m_cyX&_|OcGUuilxVJSr3jp*RvyyC%;F>T~K6-toOjv}$@d zDa%MhdM3D?GB#Tj=?+dMlE{FQjt!gP4Ax9#dE57Fh01C<-{)ri z4+-+%U}rc3h4zI|-;bc|UYM@1OfBZWz^`OYEtbi&n1`tBO~@>krMi;i^E4^%%)|rD z=nH1vu`^th*5F_XkGtant$4gNr4B8wgu|8@f-Q7kt^T~RO!m&e;C*XLdS{qply|Be zzL5EI+QW-OPgC)Foo~Pqo%gT!z{@hTPAH^@i5;v4mIfI(fqT6y_CMo$U+#)P+k+Y3 zw#GhOOppub>dSw1WL>^UlIU^>kj7c{xTE-OR7hw6poNN0G`Se`E#o|hMumnM|_5C30= zfU=Z;@;jd#foE3HO^7?d$M^m7#JUPS^<<1qFeiUS)vcRm+XZ*cR5^AoR8Jk>Gu!7h zd?KIHA(VjfnU6o}{~N+_yinMlIJy3Cw%;&Y?HDiijFkDrD!%Lec;=J4K7hT(kyl-D zujm+7USi9ssJT;dku9mPHk#2#_4>?M1qRgVP8iphJIHdT^P*>xr>52sl)C=;Z8-Aq z+I>wZ*mTV&=qx?gaRNHm5+z}=0VZf+_GjAl;fTvK29Ub*W7~_LwP=UGhOzYF2#xLaYUKS@VzuNsb|wt>=yxX`qKD@0YMOz2?qL3- z{_gA> z#%-MXx6Y|1!w1x7BgGG=`Pbk$A6G$awr>vhSZ?e1_R@6jrCUw(f#%_@-!p{9FZE%L zHokZ-W;9cjAuAF4v6t0lfG=#&gn4hWJ(7BEZ|~gCAJN}Gv{6QjV^FeAy}S956(pfWa9-m2vIR;Wd_CSiOcEV@Gcrp%dhDxjPr&1+GK6$57N0yve^$A}8KC*rx-XC39e&TzZ ztjzjf0tAOCh$2zr*1{P%ES3|kjYakw;WLKJYuUnUi5|ATZ;MGB2cQ--~rSSeAw96@a@Ck-SXW>{7bqSx#!ys4LFUrNI9 zP5lqkCJ%-Z77bz5n0X}1F*XZY9)H$4aat}GTJ&B;wt{5^#BxbINROdR(mtwaG1a1Z zXWu}$f4F>pxWCC!5{gvSkgz@JPdF8Ke2VPee27QIP;=8!xnEG1EA|JbIM}+~!_U4g z&+J@p_zI7G`M%y?e7qf)N}+&zVdwa6q<%wU0(Udu7(wk0u8@W0n48TJVFbUqHo^)lenYErPEZ=@}~3fHC*CAAU+JiO~NHzj|z4hTS*B?25co zK&0_$UXjGXABCVfIFx1YPS$-El>qivsDxqixua+Lr=EwRxcbJijk7AC@;)MwUFSy< zwx}u71b--fqFee7KHRzUNzdwf zM<|I(isT94U&J=Q7v7vJ@F{=5YWa;X_fF(4KT>)o|7?K!(ZyZ@H7XsvvatxF8P4O~ z&0v@d(|XiDjWEz57Tm`S9tsa23z(r8-t~c^kTsmb4T25TxEUt;5m2p)0?snpG=>>; zmN?l1qhgJl0P4Uov_p932KeAZ^HUc?fG&CAVYK385<>`8b>4IEf2QA?0519;K z>RAB(7(A1her}tT5OBrF22yZ0IsI&MW96|%9)Xvdtx_XV3YQSWLWH2mI?s8Q*V4Ym z7Og!>Tw+dZ3c>t=JZc?*1y~G&ArI~=q9*Z1217-s52Pn%G7N+v4(cmv$>wR7vK31r zhATVCdsUBt*)XpG&y`v3|0#9(rJ7 z1ztN1k3M>RwMk{eHC1^9?^NwBw)tH3u!X9_9}6E+-=;2A)h3PA-dWozs}{ynqZhQM zD?=eiwnVl2j$Vkd&gKF6+T1Rm$E){T);m3YeoMz6j2Z+1hZU68xN62ox~lZ2aOihx z(e~;(gKt;98XIbWRo@~tN8I|-IuckU6Ulp{5LJr0mJS-uzlWo$X%U z-cOD1gBOUL-2^)V3~cgGaI~B_&4Gn;R%1ecN)&q*Hnu8FeA|1P&fh7fxol9g@uoeD zU~BS{2hoF3ssxJ=+x1F3{sJT)+W?aI9e}VS22`avV5$0VnNMdxolj4qz9`gora-CH zgi3a&=f8G|G4g8LYJud^&xsW{O9`)B$p`>?QKd@ketdbq?ur=IY?n5ysjHyVbez*b zPsW@0X z#!;;k0o7={nm#b3V5Rc4w->^DfZ*}OahPs5>U8UrhlbDNk>k$t#e(^howPjg!Kqe% zJC;$O(YK+X;K<~NCF@cH=<_don(QgfgsIkJUfj92ayty002>w`Ta;n z&CAp5`~Y(1y^hpS%fC;7K2nJ2$pzU`eLUEQHQ8c< zH@UcOh5koh_#<33z1y&9dy6zgtt!|J-Ie4aR$4h2q*;YvKswEA$2Zuypqus*_Z5O11gK$reCse<)=C9AsGu@#HdH}n+B9$eQ>z)Z?fb>$?7$mK z$C)F6F+1)HYT7Eamb*0i=zW)BX1#y{3LOAX@!|;)EYYd%PNy90}TsjgUC$wV1DJWZ1GnMRIxIe zEyIpFt_W0R6b=iwGDBRx=4k!N!uk8e@B94Rtld$I0o0(D6Lbwx%HPms-xwH8TR^nR z1xFsf9{wIQZV$Lc=@cU(tg%zgr7c9b=Q!stckwm~H8G5XZM{7liQ*s_AE`m%%!v)r zZ8U$F=COsNw}tAUW0;tTCyi7WQO#=Qz*P|@AZZ8)5hH2n2)iyw5H3jE)P2A>QF^Sh zs}-1pYt%h%O~)#0?jd!Tz&2<}g{h#> z4k=mY4wncF#1_nReVQ%H_F#o;>JseVBvGhjBjc7iay<3{wJdAvzu`d1R`YHnM_o^F zPMwS)=q`B1?vP@@T{4x7k`uhifp#T4tu0HE&8fs|b~e!K<9gh82KiY>T5r2MjW^*u7JD| zTnk$=NV9p^qDFT?PWV zgIa}rQ40)x19X|%*Fa3-F_m2dg#Or!2=2KLM7hD^z@)I7ch3cJQn616Iu&2Ra;mO` zozAsf3KcF_WeVQVM=~syxfk32oSbgah!Sc_5cm~2i>~{~ks`jYtN>c ztN~Mp!UUlmFpA@te%T?SibX$DloKx0#G9Bw4;9aLYL%@vSu>9Y(;A9n^_V1HO5iP4LZ(-w@vbtJzTcMTU7W@rnguxjlpP3B& zs;k?77N;5ux89dDl&LlT086xey$br9W(l9l1^0~@kss2jlg;-Yn5+~&&sGkvb6cI< zLv>`0X6}VHpDjpud2S>IGiDVow(LXfzyNYL!a>?58{+cP-{d>(zAZMRsUC{cnj}+5^x*GmepB$=Ew{hi;p@&;mZ0I)4MVUw1UXcg5V;$i3d zIxM+3KOlhPr!oH2%292bw6Hg5k0S+>u)Ry}kQ^Evf+T*Y=q>9P9TqxA=Qr@ect!%x z3~NRnxI5t0^Mt_EjZg8*7;1QXiY#*>2P5!*xo3E}LL|PyP<$azPLgh*#2jz)G#sxC zqO2nD8qtj*{A5B8+~dtlCxqV$XpSv>WjLtY2@!8)=9^;6^+|Kj@MDBNb7g(rMh+)9 z6-R_N*MRg4oaHf=T)flQcf6oSqMgn2L28GF-m{ zybEl}@h~ztD!^0gf#o&+rS?bRag4{#+Xfyn?T+y)(i{D&T`ISjf)BK;)tf?mjw&g-v6P{V83jbM z%J9^oldrclr>det#nVj<447(6g14^4!wk0)bbljMYs+Q3Vy22lxKH#4%^inI?VYj_69)U5rRJ$=*QYX~hPd}jHFA=b@36;7Fe z`(EH|he70rtFw`_qyG%V)aspE$JAt6GS3njIE%;+Guff4x2c9K zpNW3gKF!NpZ7Sb$Gy#{0+ys48Iv^31%RP`nt1XL{jOZA(g}0C76$ifjY1EsiQATs{ z+xO-3$vUUMw>y`iS1y$pygFOTvA#`~eHb&HN9Iozm--!e75}qh2x@3mWm{9DVyHDhWdXPX%{OJzfrme9O?>4eDd zB;j#|Dr^f&gAe9p-*_%L8AMItGP?Y61+KjDuvE^L6C>9B_f@QsN+ttzdLJfm@nXX ztwi;kI2uuQFsA|J{N`#chkh|14W#`voNSxD^UQDv=z%3S82HtD$jBC-v@gphl*l}m zd(Og&o%r!rKm^E~V6imCjQtJ~Sb~M{IHt3Bm@JyI zF>>;Lw6Sp_|93sb5Om7g!w4F(RtBT_5|~zR`jXSURyQLjvyfk#sM=v3OkQW#!fLE< z0K$)}R4~U^?a$-;Ag(R>Ew+jzA=n$%Y~>4YV;G%&_$VD2VWp}!(fLcu+epx1e?tD? zdh(@HZO=2E`M1op#>&;^qy6}2lkFF$XwNt|8-~lbbB2)1P`>&ZV5APUTk34j1f1C=vY0p>8wTeVW~Fmy(|==B*V23Qv{2^2{;d=jOb?Nn>zT+^$|B1i(hli{W9A>g4lDj ztIQiad(wdBZiDmTEp%O3jbFl7({PeiJIBQXUKwyg2+(*oKEegl0I8&mIe3En( z#pMT<>Tt{;9=tV#GKVrI>+>=$%^jV$#>=2kKni5o1o9? z9Jzi-Pq`{5UiXven7Dwt4qibGT>_%2(yt$szo)MiL!<-OJ(LSww-?K7KLfQGpIR2= zmjxQG{1ao=EtD z!v6wBUlS*S@hCa-#pxW*7unT|CcSv)bQ_8Xan>geQ*K8*pB>fuIL_$cS03iM<(2nWrY3J1lp`~oisRD>K9(hxFiz|Dz+jucG*qoh)A z_uk}XhD+jQc3rdNX+Xc-+25|z56FA`62vt4+Y)A4zJA^7QgB8XYEZzJ z`u#m(O8a%!Ygg22uiQ};HYariD3!!{k11c6Zq4BBJvQpBhZc<$EYhmyU zwfpC?8YKUD<^#jVKDWUQ%H{Toqzgrvq8GJ+6Fn9#x9~|2Wu>a6emhhC>fCPsxf&WT z)=DzYydTmZP4I7_No}=+KhkeN9dGpAKX2~R@q^MpFLW@Bzr(ub8VH`#g!JBHz^CD$ zqgGiSC(p|41sT*>XGzuJ<6Tt#J(KNz6{ytk3k*hb@0v7w70TUE;b4gT9PhyDnh>OQ zhJ$}%tZ*-@uj+HXMlTSFSSPO(zbX(bbWNGJsDrhZHSJ|KWl48Ih~7iR=LI+C-}ddw z_3NrSd4cSEV^Dysf5Q?sfsgkI0}zv>BF%t3>o`+&@LnbfaBmT8ECt4uP0px>X* zb;qgu4}8n}c8)j~hBq_wX9+smJELRie5TjuI_g155S=#MSBHPDqw#W9A)iqad(D9s zff`>Q(SxiEs}ls>7&A}7j)o?MHD_TBAhO^tI-6ApED`aP4E+;_ct(7*ly+|g@L-F@b+~cATf8h8nw3P) z+xdY`6fM#GfwYQp2+x21p*N_b2=Ze%5X6kK-V!5dxk)9DO&Z-|N)vLu8Ud0-xioNe zv7Cs-g(eJ;4F_bILDRVr#7g8uswXNSm)=cg&FbIlI84R`B3q*)fM0l{`xa9hv9dw@ z8Q|6YjvV!kKd0?Ha)p8Z=GWhP{B;#8nmB0~S)u@FZfHtsiZ!uWQ9C7LJ;IcK6Q#JZ+}M2{t} zDp2^_Oyt4*cYsN_SpYW;yc>S1=f##~=>s5!qb-MTVY|^izSeT8pH1w3Ewjiu#UQ~k zeU|KqC0}aXR5&qdBA9|Y6;@V>0X3sYhk{kA9bl1Ql|CSKUe%LB9PXB=(&!yInG$ph z3upTpA#B}U;E=2^C()ErO@*zA&3ky8Hn_im9$1QZ{F9*F*kmcGU*`d<1^Ro>LbCFk zgf^SZ3re-EDPm_Nb-nM{lSsvQeF*Q_;VG*;*92#Lsq&FR5cP639Tzj}_Rr_b@b<6| z=>waT!;dmy#<}TO8fW3?k9S1>V{aJfPoH=~gF{BFZgsCTt@Hg=)}P`MPLNP@pgNF2 z7T`7gLVv(Us=~dsuyEdmn7T?YZetET!?qT*6E3u{+WW&{xv1;VjR~*CS@0v{{4V=q zTa0l#*&kW|Ag<_Mb}cSr^{z}HcA`Ou?w~lzo?24ndO3wp?BBm)kow(o9tPNtfzWhI zFoFu1L!ps}tQ}b}?0`T(wbOmq$@EeLsmF+WcgAXl34@R5X1%Rrfu|dsLDiKp(80rt z99}9JYMCQNWanK}_+9r3`TNBX^J>~>-GWv6#| znul_t7lKN)G=>a};((`wR*yM^Lfl};7z$}3=MwVokKq00zGTqo5fjLSZ_r&o68km{ z!Ujvi-JZ`J81n&4nS2BZQ>Cx)(vJ*t4Fdqf>Vpop#_=FwHm;oXq9B!zi#h%_6d%Tm z+6O@(D(DzRjhwvL5ms1*HQujCA%TrACdF3U7KUwxd@S7Iz$7h`foFaP;~WuRXs(rT zBcC_CW(>?PpMIbtzT?Ir4kd=16gVo`6WF&MjGQEhR!+2@V-InzBl-J(i{|&ax4mx#kA>Kx7ka#cH);6TIY0N^dT#Q&XIH7QkcX>b{htOPB&WaX1@ zm1#))ITOBs{JgS**%eHb4srxNotQkow>BI-yD5z&N6$ZCgkH75@=_o;j zmC-}u*{sjd-$#%T{0(V#={%czCMs80>7X=j@x?F!M*#48A_fo328-Jmk3!R8^T$E} z2cUADmhwL1yhJ#~cj(VQckreC_b&93$|utTjXnxJ`^cG~M5_Q^BleP1;kgUcWd`>j zYRqjx5&ayjytKY?`PDv`W z+o#WMHTd8tcGA6ROq%`mx4Ph7C}TpgC@c^vo+P5z_dyP}St->xYZ2vbmz2_h8=JG$ zx`I-(5%37GNwEBKmnjL=W?6bD)hzK$V4F~x88S;hKU=3$<}1xKt)TR61hhJM`+XZ3 z(dOje9=N;1h=~OXx_%uT(Bl!>@4dMH_s}vDJkvreN@Ick^cMY6PiOJETdT_ZHHHw^ zCJ9xjHpG!bWFDR!a?&7upkteu0%EJfhr_p#@Bc-mz`nNz?#?h`ond)wynNR@?_T7bn4MAT-hk3vb?p(_4b>k0aF|FVw8MTF zq&N0$GoAe28uhxD!Yr^^U>d63bQ$+Fo^W;-!uy@$u)UXfW(&ciLZXXo##toiyn=pA zS+|+oqk^ZAOuid0=)P)u7nSc#t{ws9BUzM*AW-F7$M)(}LPbylxhgcdOa-EJinCUp zj5Ta81_!rP%)_0x@66JBtY;z0fJ&e4_`P!H#kV_G#_t0wN#G~e{6abldIm1**G~m> zK+aFMG>VVX(Ltp)_!rmw+#nY}16vXFxKqz@w)>R%%Z1N+(2B?Gp}QTgF3<2nv+@5$ zP6uaiaV|(Q?iDGV66<4G#+S#ZH0zo-&dH})r97HbC@a}j$Glr(R?`drODO-2rD5oXuv< zb$i$(@;5njeb{6o8oj(e!hQ`p%lzDL{d&9y9pwQKFnO3bZhRaYyL$I^oC%c3ek9AA z)43tABIuSL#Tu3fdfTArB+_#r;$MNz&NjL|IFp=w3nf z&g1)SZ`GtWAdl`eR*Ik|1x0W)(?4s%@4VLKOu@KM?oiwCdxK8bTb6LS4#>D}@^u8> zu5ah`UcQz-QWK7k0zHw%Dg9dYPNM_ElNEv zNrsV+?RPS}6~*E0gpzOX9~XcMy%f%gJv1W{c_1Zx{TcsJCgo_8?=?g&Kv1aGhBy@* z#b8Kg;K-Tp6hnp0((;T%r=@(rJ`d=E;!sYyP+KR*d2 zo0UqHP+D_%s@1;KetPu%^Z4ahp5$#I;#0Ix;PF&moPU5cB_((U&G;Oq+)FZ>XsNY2 z!>q$C+^1;*)5thbH4)K3Rgd=xOXNGV$_&8clpzF>nf14VK|T_i2G!;iug$Vw_7 z-r+gOrKk6n!UwV&dDvrB7kN23fcUH!Ht{Kk)kBFzbryvjG*R>Ct^_J;`bp;sc7lg5 zQ6#@eVtdB5y-D)_q3$ii>-N=l&oDDINy7~@Geg77%nVH$hK8A`VPiCAtWsnxbPWrY( z@T0CNz;UI`*f%3hz$l)l=y7^@wO+HrpRXbOf*~?=i6i#?HK%ltXr@xmGOtX=REXJ> zp@SyhQRbb?epGNKuuv2=hP+&cS@u~w$g>P(Z-!+sHxkUfEN_y9g zL@epe@*WB=gM+3Q>vvQJl>BauH?kn8X#MsXAR%nuwW*TjGcJtRi=~x%JO;-RXWBu{ zbUmyvN2bDYW6RUG2nD@Q_$IixWJcj&b!g=Rw^er2Ud=xQ@=kh0RThj%=aaw+w7#(W z@OqnvjnEhp zuA}Mq`c`4-3(=KB4Sx}a^49hQi|F_<(K9qZ)%e7G>7G@t8wtRsIt@af4MO7+Kt|CB zT9+R;iT)wA+{%?#MRGvn8J5cVB#L;r#scs)mmw@fv7OLo{6r|AzZx3~sDYxCXfXe;cUo(`^Rl4ttXuw-p|Xw!8Xq^6vT) z?wu8j%V9Zf(EQat?P7<7UYBKy)|a@|61X#{KUa6!u-*TM z)tY}cusro%>pqA7^(L4F`#|j+J_y4?Y>ZOBG_qefPWnM{!^MmzaC*A-us zZL8U!CSBmV=CrpPN0}}2UWe!F`ltmMd-bm`HQc>exk-<+FP+rjC0F@Aa-aUnWdo2Z z*n*BJN!V2++-bz!74+vc9;$l2UtdQb3Pi)Nd_vInY!OLyzDP7xWId!ctSAUhC#XJU z*f`Uu@Yo_q#rBE_GY)T%^rbSPa&w|?Ydu^kz54fFkqpK7!CuIt)P4Y4rV$Av0RF|S z1!{P@3f9jHxcZE+TM>mh#(D?Z30q4jH(|JRdIKx$z%URf-(G*84jiTx z{0K~e_wcOjTo0se&bf=L&tneRg++$zX2ssGS3PK@O=Nye9KcdJf|1ieZ=O)Ml+1 znia7I!bmyk%0%AwZrapTtt_k+ED%@zC%{JW>uy(G?x*aM;1$$4X& zlyY9V30C#6a_y4ipMVdu)^Cws0h*^*y%hv}7>nZ3CIn%m6+w!Nm!G+$hB)|>LOS7X{Z(HGDRH@`M2+fBfK4r0>UWa%u}y3d9bdBV8P4ug!%oCrX7c7X#k? z|1lS(T`?Dpod2)DJ8Le=o5X7F;}Z2-e4ArD52JQEV$pi-(W%oO(W!@@*l&gbQ?r%> zGM(}ZQ(WKU`{Px=q@-TAqIqL&8LgVjygEu&W;FIPM2nMtwT0RO0=9nUE^b30ofrXA zDpH*b}1x<48AjGv|05&y zZZK|WEXn+SiEJ-a7(Q5Zw;4uu#Wf0>`2oUHqx*v>H|Y)Y+o;mu{Zu%FVQ^CaLT^ok zisGUjZL%=2qxmD|gAz9mMg|IdGxIyy#nly5j}9Y=J~vpwpaY~2%dB*|p5gJW>qJ#E zBlwWI@{rAsGD@SiNt+|XM%?b#H|N7m4~L+t@# zE~V4q?EPaqaA`a~U11}H{9@)paX;UCNxl)Rn}Yk6KD?fsbwP3H|Jh9hQS%z9MK^d~ zo5AG9H=}r5KMD$(GYmKJvC)m&w#m2JzifW!qkJot)C?G{8FX}vx_lwkqLaNhdq>!w z$>Wrwf}(l+EAbZRQAE?Bi%-VRW@-Y&Hrw)iA!RKh~-@V{IAvi|%{ zD=0qm6XT>ET6I)|aO>MQo&<>>TJ2Q<5-#X0<8BOocvkWz&}XEYA<%f~=zCx3Xb_rG zN+CdDM1p@-^Z~;OGPlNmN%_10qs^B_9mJFs4P9>6CC?6Avhc=%+}%HcSScy<%T^ zhlF@si#h7YW{Wi_F9&Uxe2GCipD0temO&Gwg5(z(z2KU|ir#5*+J)6aZGc=wTSm03 zq)6!AWF@s7F&ABkA2(YhtQfHJq`Z|wD%eY(vttRb643-TnYbmF2)7B$DOHxT+sIqT ztj~3i%s8iC@vaQ|OPmh9T|sp7g;yArYCG5+dx@GRHU1p32+^ER>>|?>=61_Zf{P)= zvpP714qmVtTnfL%=Lv3)As8kZo3{|q8eu)n*ID#rf_MxP&NcPE_`KP4GTqs|tm?4y zMBW?OS23SHbP8fL@|X#5WQocjD?cKiL_?x)f5tV)H%F8fU|@XwecNbmJNI$qk<@Ab2usja!kJr_>b=zMVDYUfYXo~ic?{x6hZ zTsYpFeZk$lZFVCZK}@kh<}2IZI5+i7Q2|_Qc_(uGU;yrnxLXWrGu))e$}wl(fN%EO zZ9FF8=8xb&Z-|V_@tnX=Pk_~0!>MDxTQbfBHBJjaDMAwNHrCI=-)ksB66r=pAwMGw zdth;5cx?kidFv$`M{91@n-+lXa`rbaHM83Cm@B`5FHe8d_y17{&n=N5%ssLF52tmg zQCRxurql*D`yZTEU1?>;@74%!3VqO5@_U&(cr)p1eO9|sVLAINeY`20nQnJ2ChzH^ZjhkYk2+X(-Tpd#3}Ke4`I#;@qdJBQT!jHTCbbq zN334Vtz6uYK1$qYqGpcK#D!goJ!JZ}o^85`vjGHO_&p-lnn`!(!;=^$ZXxSpt?O54 zJmbHRt^4`-dGFMCej~V9C$P`_?stNVS+LQnm`V{&eXD{_z8mkwizlXwkxJ{r%ESVFIq`;zst0hr^ z=jg2mnmB0sQ@8~~2JfGd<3ET_nYYu1P7i9mXrxMUK8bu-@>=DLlmfhC_OS)q8aD$F z7TtI5yuIU3A%yfC#g6Ds&ub^mQ-lWN|E#nsz09%r9#boPgqKoy+5Y1c54^WTqZ$h$ zsJp{?=J_G2&c>MZc;9Mx?ecW`>hkE?frMujBY0diNKsoKJaVTsAT`k*DP(wi8pE2! z?fWMZ2^nw2lY~0JO3~`YN>0({RBDO(KcRgJ@uqLf=%^v?YuN zdSe&6in6;dB18mX_-chf+p4t!Vs>)O28yt0E8%(IW*S5xy8@0C$*`(#0fIcMX7$I2 z0wHR&Hyh<8@yQSO+_zBT93fRem|GbpYxkJ4i^Fx1T7+QBs)CkSSs$MgPjbt4rnC)U zaV>as=e?5~vR_%*BHh_Bbbn6IgHL^AQwyck+gDV@%W(8Gf_+MmnrYo24a1Be^uUOn z^5LyMZM2T@u^BRA<*5h#!Ih&d?LC}e-yTSF0>~_83%$b!Uimg*!$1t?tpUTU#0)8! z%lwSI(X?Gu7rcyU>9^zDe88AR#G|eHVz4;9@YbD`Y zt3imzD`VTB#+|-yjhnn5Z*85-rMq8@!*pnB?uXSQ^{Mq~&B+wwOA{zSZNrreC9>9R zn2h-|USrnCF{f2uCvxl8PA^uvX_zlt1*4H1*w?-M72{b(q6C))b!~V`ABoV!Dl)%< zLHX3(enXNz&v4;%5Dk;-D}}`!vX}Nx&24H~4rH?wvc`M)$4yA9H#>DdH2K;PPD_jK z<0yoh!6|_dr}nHrz2!BY#RA<tmLUAF_txgd zV0`u_H2VNz!VG*?cEj%#q2k=m+z$uNl@jQ}*zSCj=yx=PEIP|Ntnqf*N7H4=L@_!? z)6}@K5YVR5c}Dc`H#L{_M{&i7Qa*&d|E*PKEh1aA`)0?NA9e8}Q0LAbV_RY<8+#W% zC_=jzru@}2VYO(oC8keJxF(~{O@2fscRA__`T~&aiBzsR7je$>=}4Mb3Pw zjM}=8ul#|<#zg%y%&0GR*KV|!Ls!YosgMhMF$~8$*R6|jlS~`Axd~0F{897WtP=x| zKjsRBwzB$gSvN`wcUMPl%0!Um?FnJ;M&Smb?=7e*P_Soa83o z+(eiW<5U#28b_N?i3qt3RH&$qNRfV4j_8jy^ZyLltmZF$mjX32YA+jyu;}uUW-uO_ zT&Ml-A4+vbrE1?Sj5>hS+L4=K-7|YNc)}(W;A?zw zio6d-AUK1^#;x)8>oOD?cXD+tH+!_Uk?O{1WxhQixJ|=+jP6wpB*dW4#jduFI21(bTZ*Q*oOr*VP2^!S z5|;c4B)JVcoZt>SqfI#WS`NlXg0_|KlZn1fdIlN6c8f_DXYgZ4FM+fY{^)bU%zNld zeiTGrH0P7FO3@$bL6&kkOps8u(ix1gB6$HH!H2bL?@90Cjqc&yQjPk?%5dux zXj>HPSo-_YYSYl#EM_ChCuAO8Hj&Yhy3)DdEt?M&?a&GoWXl!w7H*TlS-8#f)^;^F z^96(2$iDDBm-hC{3GHx0QM_Qa}!E;C{fhPDOI=+&%Z1f%`10)}mi>W{#3 zb7&AwD!esV5A7OeC?0!Y`7{?K!8eiV)C{*S=sxdBlZTKkF2tB?m96suM~zxdn6phr zEK=(`CMG;>D7kR{A2l^<#$idIvJEm(xz0;N5lHJ%rItlSFh3H>rx&39UJM)3aAQPU ztfCEpbtm`Pcv6j>a2hZG72_=ka}h^93MW!Ah@k;mzCoWMGL5TqB{S?-8R{TT)gC}g zK`JnqL$&;*4~paET42`1ii@r%AzGHU>mSVHpuo0@QG))2SsXYb4v<;O0Gah2;lG(5 zG2U>&?E)9_@xLKhB&H^GR!;^>1QU zZ0y{196V;ws=Bj}yJ!kw9Rp+nmaB*V6uR@Z0?93+!z^AmtL)g73tEuA1sPqpfVvM+ zPi6YrvRT;8l}|DuLxb7ERt#Mi|6`rHxv-r8h`F@vm_--<*OFIk5FG$&Rbn1qU*)6B z)8>?YKvPshgrll}j-sRue8$Ayi=?C-3XC{L(l{g%$5e-&X1yfm>c+%kz{Iy{6~bYU z>QdfvMa4W~f6j*cP}mFJL16iuJk>q2+sDK^d)H7_>)<%ik1R2;l6eY{S}o4$Q+5Ze z`OCk}MFB28*n%$=e!>kPwN3$2YZV~1T5Qu7mVamVfBrU(cLfzv;{7>)*-*L7tc;rd zgzhPPp&1)!;f&)kvc(bx41Ncl1PSe6H9df>Tbo8-8aL3^?lzh>jqBe`-=l1O?F^L3!w~ zfVDP{g38-IpA)OM31N%3xGc$4okA-x;X7r!tbi`AG*b6UkQ-M4nb2v)_3b;)Y^Q$_LgtT)WP{f#xM5dFdosNwu5c z^BLI}E2X2iHKmU_J0xlfzj$QQDB3TSjsA=(ctVCcZ*Ts6w*HE}5rI9(X~9 zc8Y-yjaYXW^Up6!3l28?1+W%(S*T|&N#R0vRxpA$zrJ*EK%qvj!XTXp;4^fr22?k} z-u)(8`b^EeE=2o)n08R^+Y=;$l#BlJ39n!7oAWMi-h^b{tt2xal(N>8_iG~wFLI2DBzU)bJ#*mr-< ztQC&pb)AL-x3ks+k@rKue<7Y2t@cHqM29NHpFz`^=lun%jZ&~I7y zP#6Ux83ijrGgOP$up`q9Wl=pX1h{&@TUonSWCrE$L!+YP>{isndgHP~xA~G4n1UmG z=k)LIrBd_~%mtxfp7gsGSC(Mx%P%#^MJ%Evz84G zB5b?4p;j?>Qh@KmWJKx+GJXcbbb)?YU5>J3Du@k z5)Rt$hkn6s%o|3}OVaa_-Vc%Fl?%uLG6gb8h+DzwP*lH8I@A=7Z{7LiQ8Jr$K5!Kq zFMZC6?XP+#R@F$98UMNCSE^~AFS2ZWlwC;8FpgCmkq$UGhF6=^e7FXt!E@j$&0F~@53S6Bn3ycy-XI$OuRar6?cziw1qtM&*xF>_pO8Go+4=YQ2wpAI&dc+OCB96{0wMKkD%Eca7}N1)HECqCu!?{aC38NWCL+Dr!w5-5 z-m_zCOQ;3s8MrE{Ds-($3o>S989N-wr>eBU>B84dR^@h6oim}?Yd`jw1fgd$nTL(z zbs;m@dxj~m_Yp@9|F&nYq06yFZ1Zyx+6N)bJvuxJYdB_utjfkXioQ)ILYOVj_K9nQ zv!>~)tAEg|V_Gb){5+Av5Df5vE>+R$xxGBf=AR0JF077U7S$#z0J^Gtk$m>;GDQxx z)vSU_4gj&LfG-$1UK0R?)d)~n7+e5_bz_kZ08nrL3)#K5|N=s#&I7sTOzfmqgh=fwGP2aBHB89UD? z>vX*aIS3bCTEsiIWkR9z_ZX-f2X!lsS9L4Q_l^R`aa&%>U_ZvrZXLUe{I}HPbGWTW z@^j6PEtl^b=jf`w0?km0y=o8|E=s16A%y<0?*A{?s}Br(f7z=h%@nyfu3gVIQblC> z_}%tSQbpI>l-=^4@kIW4SRLS-gO}WunxCz7ju#Kio_66pxz|m0AR74tD4wp-wkEcw zows9OChIJX!j+GM%Mnc|XY$;AjUenxYv(8uHBQe{%?^qgtGf0rPx7Lr7MJv zh0HRQM9L1>g^5Jk-9!q9<7P6&$2qSFs(o9*YC~dG&yGa-gza{Dr_&|&#aMZl({ z3>1?X$4^`;#Hk;hq4szTop*LJWLSHRPxhtEgDrP$Z@VHIuviL0+_)6oGtxn;*%kn8 zWo-e_R{u_Da?3;qGoaOo9#{1qMwQ|e1|z5IkDNOy3BMBbpr>EC-Z(7{`wjK!6NFlp5CX@^`=P%Ke{?DLHhd^% zE0E>;7W-517qKb$v;d*u7ALA=9xo=F?rfm!Xbx(g=Gdw5_4W({V0!=jm4+6ve@Yluq$RvE2GnY(DG0VA!*%X9t%K|niWXqI6^40 zHPfzOO+yP2B<_wvoiTQ4V=F(X!KlmpT|bY>2l#88&GBSl;m#)3L zJ)FK=-hm!ljs5&2!;HD-d)#Lpr3LMF4qsfcXCBc|^5Vd=QMbT&eVO#}2VGtaI(UPR z9=cts;iez;J{9fUUula=4e!mW6UYHNhzsa73p|h$uL<@yosI>hr(SWy56BkdV;}p5 zt4IlZ+>wrjU~Y*Yxsi*0+$VlPI(+CB@gCoDk{UjbS&?moEw~<42GV=81@W;P<2ejO zgxR%iBv(X9k^o$X$C+HKZp>>(YgjwrvNV?tEHS)5O4yqkTVeY2=TE@V@GPC*mF6gH zcGu!4UpD{YZc&nVHi7GmnrUfbP|bXvEytk_a)-vhlha7FNgW#OjW|S3SIWgiYR3k} zXKKfJDoHY@>NaZSBE@xj;!YotMxCPZXX?A4#GK$l$qiE_&A7=QXZSz;AZ_>PC%rm) zcze5cc_Hbk61e+GUs<4>sLn3a5Gf(8F-<*6`;kKXb0K-9)ps*&WCe}sO*J4={~x26 zE3f2=po5rPwo#qS#HtC}(Urr*DtemHvAV>n6Z+AylfFf8Z1f@UXT$nsFBW(2hYVE!?(VgB`77ZK{CU&9ifZ z2p_pf25(&|#QXF^v!NGKJhayA9XaQh0wo$=PolnNv>Z_+?nb)H{O?Y~4a48E;bz%; z{Y3`>b*a`W_;mTWGVUpkb-|+;cPY#|14i(j8cdY@8BJwerRVZGb%C?6NjY*}4l{wx z#iEqm&($s?ze+q6?B358S(vF}b8}PgQ-SW9uAM3xO^R#H27RYb27HrR1Q;tOtf%P} z7JHT%9_bD=MfYB0$_RVVq_}Jbf++sziY6x{8-YYRbTKQUtDtmMGw|8_7hLK%irXbI z?c_7rYoT;!DJSTFJhT}-IMIwC0u%AH)+#8L3ORYrKzl?y(+neg$)PODrJ)3tGWZt! zk+eCeRb;bho4`{TRtt{)h40bE@^u^(re4^$gTb|sb}2P??<8+g2{NLUIXGgL`ix~w zQ`8_8vEmR3om3iIzhv{^siF|(@D={>_cLiy!4Q(4rD(wqSb=D{qG;Qya4m108$=5q z|1|gBpPq|7KQGzv!O2tWvg543MfKo`Zy*&oz)W*FudVp?r;BvCW1SOHPz`!ZmzQxO zxQqXyG0AF!d}VQHmA5jyh9Q`jT|<=cBVGURR{7K#D83C&{SJ(i zZ>ugxGLExd)z-7cbRywH`LEe48$Qo`ExlsTorUMJd+#9PN>8U=rMXBaA8~t$rni;q z(BFwW$(AazANj3s$fr}ir#HN5=vd~Nk96|JFInh`>1y$-$ot~e z(fgCZQ}069TkUPpyY1`IJ8zFW`!~>rtJ3+3(gp`~ovnW7zbDyqD?i)CGrh$#wZ#;K zx39dfUIuS|K0LEw)$>lxucFvM*@aR(UMhTN?cJ0n{BdJ=^O^7((2$ePmC_r-uGTTI zSDf=|ROcc$e$Exzm1^>&jiN$HGrc`?iHh8i8roF{0Y=CY+oy z))e7*-Dp3v4B-Z7%P`|9!$l{^TQI~2e~GtYi0>>&FQ8RKbfX=QnMf~seglG&v?iYs z5R8EUfAlkA|5$QXUx~#})$dbxy?BaP;7zX% zLztiM?cU9KCZtNavu}H~kd%;q-vQ@EA^yg&>2?f#1PT&Sgt-pwUs1_zk~W*csIKy| zB_+!A=5e zF(wIaXd=cY9$2jAGKL6sbS)5;j&ohUSHJqbY3kEutzO;y(Lg(Bso`#&A8M}_`E76R z^rdpeS+^r=wc?H*N~X$&2JDMHrRJX!kcYvH$^7V11!_vulJ@35K`Y%^=XCnFbv@1) zYqKrk%iE==9be3MFW(xUW(2CF-c%xVRKfDOa(L|GGG~f!UuG^8!kYB0H`I5HsIijl{yOq8v;$j_QC2wi6K?s*^cl0sjdDl=wF?1QXVjaDRvB4sjL;>!zW zLUGvn%9_fFCy;6Fk0sfHWHO%;YSgS%g)*?!R_5X~Ab{*e!Tcn=K`#l)$`7=oEl#|V z$+OCG{F3$mm8!~$HQ95)qAM-b;vocyivkzJV8tu7#;IhzjZmcevvSo+oXNaz6(%Wg zu@L_JJ9A0nbhyPvi1t~>$y-`iZ~35sE48WNyqKNdx>>Ld!CGf#pl$mMa|pXs{xyT0 z$y&9S^J7X=xgoy1?8;ni%n*fr(Wx>ONQr5*0#2r(A|kO$rih#>+pc?64)EJ6?$CNG%soL(8H($=Jc-YwUH*@CvlTBqT>*$A|8!$VdvDs9I&COs3t$_G1 z6SphtgELBTNVTxEF`d#3Ty9zqHH{s#46t~jNl$^9ZXp*<(Yt+Eku<|A4hY*zuWF|q zk5{{NEU~JVaa5;15eq~%JG3$ftR#O>b>0ky>cgZ(J*LUce44W6v z)$7u@e$$j$h4Qqqudy+;8Z)z^wXlk`v^uu3lCiO>u(d+4C*fE$tFupIuao@IgV%du40Nl29%>e6RefZXs^`LrGo`D=`` z?sW#MMc|d#kIwjA8nCD~GiW~I5U;a0J z4XA_U9=37`yWv&*D6fS~Lu^@M)lN0J`n=4ETC#>Z-B*Lp>JJKGGN6(Reca>)`K?Tj zPZKzfYC5S@j1u(L`b36}Xx2S3`7<5-J*)pH&8L}f`QpW{p5FvM1L|@uQPO@6EI-`6 zI76XPBcrR=?ZAG^v=|2DSsojHc_?dQoSe(8-3I|N5=T!U)2v0=WwLkn!d`m*kS54{ z1*sXsSqE5^MOm`eFm3htfp^qj&5Q(ehc4>Vggdr6u>MxeznWSuz7jn-{lA_tP&>-W zelsS?OsD_i|6rylTxzx z8;7B}^=O)_NQ)USq_k0Q)}#$HN};P2OW>%pJ<3~LW&YabN*{G#eP#Kpf9Lj&%K0Xx zzfk_i?0?U|RP;69y4ZUdD3h|bQ!1z3ZmK!388^cQoWxHCiOof&xej`XQr1-q{;JJx zSsCJys|+d)Siap-qbhCN&Fb{_pD5b<6Dd^^@#)Pu23aYAnJG*OiN$qx^H{Pez*1Tj z;xd9%E5kJDsuv-uS3LWcAo5f-fiXg|Bz5a#GlsOaG3n4oTI{qFY7L@K6uG8@q$*E5 z%a8oX;rF9hlklI4bCVo4AM;C~qIil~9B|#c`BGO;iQz^Ej&x35 zUuNDMpUNYkv>kff<(JHM@E7hZw`M4SuA*ZtiEVg+BhH&ch&|e5xOq|pPwL_CfSJQ0w1iwBhkqTkMsy6UJB|2cU8y8S zdh!g(6)5O(UT(V7OEfoT(jS{p*0qW8e`rx}CeC5+6sYNF{-k$W_Dueks&M3`Hs4oX z!MXoksW~gL7|wN!MmrK1Zb+ug3fNh#)bQ{0AvaQw{ughn`_zpym)=~G>2!pt#M~Kw z2+TEjeI2IOCzLHnt zlTL`BuzdQZs}YE+UQ$BW-x3ndB3~C{8*8HxXs_q&)`(%p#U92(*YEaYpW0c!r0P{Y z1zj}hgDBr^JI@$!9vT1ox`Eja!1{n~d)hd=d}I3h{jukTN;3U?9W~FYu+68NXH4%s z>K-8#99TrQjPg#jj4p~o|KJh`qZIn5{y+!@LO5XOQRvG*G>cN|V{2l*Ruq3MKW>n~ zKsJp%=afuO2E4N|9a6w)vkeoi<46X@iPpKj?^DmB{oh`fr}OuA=Fb@hAx7S^84mxb z|38`lsIBw&a=5^N%)(zlxs#1jrz))!)S5A|44C~VnE$qJmZUrX#q`(hpg*s3h-Kvg zHk}>t1CFqOo38H+4H9r;1vUf(+_>s(HVDisfamd~eG0%kkob|vd23r}EuHz)Jl$3l z(j@697khzK0WP5Cw*g=q7XVA{sTbIhqh|}1 zG5Mhh<^4p?m*f`MQe?m4(*f(*ccX4P33zSP`yyC`4sR#}VbUEGgyd;N#+YCe z1i1+W-wSelnTWT|R1*nE?f&r~`nN1~MbO|nGz>mAU3vnCsz219Ob(+9xvv8sNdnlh zVC%p!m>nbn1#=UGJ1ogF!imxaAOp+3;HG`rL<4t6cO(W!MMt|7IQ}J;1;*Xk^?j@kkPU;V05b*)3r>Eg{Gc8}*?sy5hiy zl5yc4eyQR@EQN}Id4oxUt#abR<-*xuXYkj@3?SMeL8*SdG>;|Pa^-^g#DXvZyIvGH z!e}R3M(wUlrrp7e2EU6djWaF)Q;?6r$O2+vm=LS}ybA^`{=?uL*6=h$q)(JqL2&ZsX!)hc7z_4r z$s=eLk)&$aVr8_U@vveeL8QC=XjevXo+Pdf62S@!eULM7wOyFkdk;6h0@D;EQN1MS zj}MPdw6s4fBjP4^4({XHKetrf3vKx3a_lXpzDOI_>RN>%War;cE;13Pz6b6coZdUs zUN3o53?}D2HvZF3{uo{NvwhAw{mb3+@bzuAMpvro;XZCB&)ph9QtnqlZ?@l^>0i54 zu=CFETJKBaJZr>0J@~$KeIM?s>wWd&%keQ6e1Gxc=@IJwea@fbS8$(9gCb)Tkf((c zUJWLsBcvdC#g1?@7zpN5PayH_2mzRV{nuAdo<5KKf&zxT7x6#hnUF)T?_`fC z_*^W=_S|zAAOk#K(-0Z*d?nM$qIl%OhN;4&=Hg(GXGrd& zKR%uDoqFY%5n(PZh`gZezDF^lk zn>uY23c-RkATV7nPc@RL%dzM6tx@hzUiEbon!5+Ih^gTFx2Lz_3rRPcVXsWvC|*;Oiv8KtHu1?Er3D-JQNO>3``3QI<4!g zri8Vb((m{6TH>xh>+TozL8ycT;aodRjo{K2pk=C#i9 z{HFT)y=UE<2YeJ1T_QC2ED_EFkM7UZ)Y6OgQQPRipS6K(Z!Z-ahPji<)_u&omIm)0 zLWTwfr2}IEFoVgToo=v};2HdvBo@Jz>>0q88g$wqQ}Pg1F`9^&$(s1S7X@Im2FID5zH90xz**bcB%)8+?3XbOKjBqL1UA^a~6 z=c%*z_i>B&pmAa=qB8EfeQ1P(_(%vkk-WYI9O_*Hd%lMEO57luul;!nBq!sb=c9q= zbc3U=Zr^VR8rozE7HLjE4~?cMs65e+w@>_t6I4qouP@in!_Pp}a=lAHMoLB5ZtK^$Ca(O6oNt}(?|J)rIUtENNAS>Vihj=?8EZ9YVAwj(SDr=Qmo~GC{Z0M<2 zAH4t-GNYngHka~h&6K?ndu}+2s0P;$UntDS-qk}`%Vh4cws%1qmTzLktcHXf8kyg( z#KMlN0MttxwTkT0US+VvqV z7k4BkQ-$)TTedYx*svj4Rat;Yc3skIh?Z;J&wP!83s$hBuSW6@LJTE!rp;d|Ivxj?b&YYBi}$(oRxpE$^+r zSk}$!z6=5?q$<=28CVrI0<(A@ir;hxPz`M&E^$1VxFPq{=?5`6Ez;zjLjwJ+hWW>? zj;kg%Pg^_zJ?_Bj<|0D0$l^eq$T7k#rR&Ahi1)qC2KvhfK;wy;9k_y?_EMIOfG$uC zYYYv7iu+%XOOu4agL-H5r2Bn7LBahF1nbvEBjHAb;B^vlGYG?i`u55Q_Hd^Hc5zw^ zCM^8{jCvFTj6xeMj~o%~`|ivD9GJ#$BqjGto0$xPago}|d(dKSs=JUey@$~uJ+*=D zgy{n;Wg)f|&rSqa>iYYOM-PS(@TK<$!>X$W7J^i`pXMrl6EbDhDJ>;6uHv~p-K-|% z%DW!=)cp7WB4ihwI2auq5?DEn@P*TYAud>ho_~--0W%N1m}PwiPfHGdR5Im6-C@fv2O`L6@ku3O=qs(%br9^}8NQU)(iPS~8y7_$HPYwB({wx;q3Uq&q|bkq+tZmS&OCjdX`+EZ+CG_kQ=;dw=IU z*LBWc-*wF~dyespXFT_M?r|@eYhvKMJq?ndw9(6BYz#k(k#KQ!RT;OLe8Ha@`m?ny zX1LsRo1`})DRHYFgLILk^T`rokYN{+-cNzj=wB~cH#k|Dxos2-#91>+2@4fMcK8D* zkuDgY@vY6pDj~cwa48Hn%ryK^oJ)$u`|U^}(*C{De7&GR;zp#uGku>vNA#DV_s(JhUj6476OE)Cu#{X#TYe|Eqo+=+mZa~Ew|*^@27X*IU(jg@U|9{RC8moHm8QmK14hPJ9Q{@AlDfE*bw zstW_bnY9Ql*o~Sl+$LtL52w3Jd0;r1J^?%HRn?=K*Wb||6{D@Dbm2jek?Z{@qcn8O z&dqPbiDH^!pO?K>a=Z1_2g}WA>U9~x3ZPf%}agb zuZ(7d0~8dQ^rAf&oeiO0Y14uz?ISU==--OU#ylt)xy0GpJGZ`!Z1Lq~N#$M21yYH# z`L}Dm!nOKU)^5q{l`AW`i*MP`$M9SRFUXDZ>6c;AT&gf8LwUm-3%cOESj+BJyo*&= zjkXNJu&oiCs}ALGErunfw;WK*v>}NFX68<{lr(d#u%b$m7;5*h&(Mc%2&VRD6RS+s zy_zbxvx>on1wA(N_?@rpsl($wYb*%paPa-TsE8>Oh4~~FJtE->Hk|Go;&Gxmsxv1s z(fetV^cgBwfyL@(m6PuFngWd|>?b7kwbh&WP)v34GJHeaX=N)FKC7Uwar&=Y-OH3Y-J=ti^ab>S^Q&tpih4@q}@%rCK>KoEcZZFZ%1RDl@9oS=t zP5pB|)Q}1N&E;mr0o_cPdtZSmt<9>bP)i-sE~j};v5=A3uc@GNjzia}-17VAM$mSE zQJ2Y&{=M!og-CsZGIiK8o;#YJ;ZafsbD1Va=LsbAXZH4Q6oH?sjGqImaT;{m^g>PL zdZ@HT2$0h|)ijEbiX|L@Hl+%MY_3Tb9g!GC4$)TuGvjp zEAnjR%LG?`eESTouAdHtsGEgxXzzYA_!KCmX%$IN+TLL0 zz8ianRsY!mO8Z;kYT@gv9bSDI6=u?3wt3`BdzRDrc*QgJ9n6SQQ-fc$!8<2YrKbj| zCrdwT*t}R;mmmpuYNH9Dfxbz25DNZjyF(1CTOolyuY-+=y6E+HeWGgEzSafj^GyRsjWaR!%;8XMR1 zCAL$?B^&*TKfxQ8#kE5U2?iasCGqWY)h-^ck@Pcn~fG(H`9J z{EJz*=<<2Ui1h+T7Vbr$RV&onx)oZbZ-rhG^j}S^LAywhiv4$L3oj&?+<*0!XoI<3#0_7%wj$>VXB)6=$af9TvW~L_J8hvO6WWe7Sywc1XQHE zkaqz!9xa@AM72eR&+MIll)|Pc^T1=PHAoQj*ZS0`c+jf{L21BQL9FXj1mS}Sps^n9 z-(XJ^xDrK_1`G;t(Lrr_tsi0iy%*e0n~)#^wyn^Za|5MraKA2$KLU3ugI9yW6TSt{ zA?zMJDFOpKR;_P^1iE8@T38Bd!G!yz`w*_F5$_+&$_U;!=A9NHd`sVD9TG&m2lNHL zwI4hgk5TUddguK#pYSoLE%gg%b2ke%u>af4p_x8qX7LFDFiqLiZXUiB>`adW19?o* zyVP1Mp*3uv9|;>nyr0jT#9&S9@A&)kCY2%xV+A>+0<*L$2yL!^hwqc!aJlHvx=Gcf5wp{Bv$ml z#?iO`9!J^#2}iJIW(gwxfultjj)(z{K-U0{BK~I_T>=~({R>CgFdRw#14r3^;V1&& zh_k9k=QlwFz!B#?j_zIbH;(ST0B|G;a5MtLk$N|{|1TVk{2Puq|Hct9z>yiiQTAUr zGW&mkBS{#JBJOcyc8?>_yT5Tn3~)38!x0$R{}(t)yT=jdzi`9}!x1sS(Z_$`h!ch* z*U#reV!&t^j+3NLG%C|MF1R){0m2%f8*%mzi^a& zk0bPj3P~7_9{m8@dmLrMa0J2*hNB38qwISe!AAH$;VAoGILiJTN3eUWKf-X7T_!mK z?GtxudRj$jg<;JGGEhogr#{F)Z3F=k(IG~I8mQ0=qFQY^4L zWuC=pV{qSq-^bDxN2yGhHY_EpCbS9%cTh-}F$ACrS$`_ExouNtZGP(Stg2A2jN)n^0b;{|GMzwTBIFM)&D`Xz|Gc0c+9 zx!V-wzQ=07M5gkC&0a)w#}^vIv1kM*M*j0o(~Vq1A+0px9`I`q3Fy>IuUR}c%UyKN zn)-z`Xc@(m{S?JVv&KGgZgNsJ?u~f`ofbSGjMV2DW|YFHlEWZpb4MhEr!q7}alsB? zHY^3Ux4};kV1284Pa=Td^E|pm1v}uC5H1_^9r{N?D=qF64=ra&ga zAxuDQ@dZ!O8wR*kf)gvi>S*i$OcniLd^RWp{FyG!eMvK-@QqbKd><1Er^t@oBD;$N%#iQ^Jg|Qgv{vE5&$z+YthP0%nvP5 zcQn{R-4{zCtzD9!OF<{-z=hujM7=x~yeT*hOYvWBAa&X(k04uJpdJ4h4{7E8NM}9c zD}^0U)F2g_bU_dLMF|Q5@P1QQgZh+ChyGXy%LhAo;GJh$CAqPnE5swo+|NBIkhM7? ztKgj}kW(LzWN;alhE_{pRE3*Sf{vph>P0_6{ZBl64GBs z;4R0N{X#i>GYg+mFy1gQQ!PBT`o49?luK>q(pG8KD%36-az_ya;#TMt=eRr~B$fg@ zoY}t&?WjpwSmPFr+BZX?^yRrfl2Ed~s1glSCvjeT1+>cE^+dT?-_IVYe!u(!i^r7l ze*JEM6O0D}ykPQU$_4=V%nHw|Ycwe*dHuN&z#I zkyP1w$=3qCx=h87lmtx>zZE*p0ebhN6tzpOqT;K6tGv4-qXk$HEs>J#NUk?GT1v== zBYrjfRAXE6+GQx1rqmCJ(6{n+19q{XOQHEALA+YU1|?a!6T7a$^sN#?^sN@A>?sLT zKU08`g>&zofS);?m|vmy?kMz#(zohH?V6N*-=U&btJwL3@SU-sP3Ko$<;8+eL> z+f|y;zl@E%6^cXD3S~ty(ZCKb!qMNS#)g#^S(*pDy%KP=$*7A|xbSI4ET5%)Uk?Fi z2Pc7UpwS+JwZ6tY=-2#HdQ#RR)Gm_))UI3-9Yja~Z;~Ux3q8OK=88T}2X7K58y2!+ z{yPnE^Un`)Z8(tAUs1d?jYVZ0mqXM@%09j<$j--fHU#1DG6QtVwT|??10Fc4;RPmr znxDD~0zsv^o+T`1+l&te_F>jBpnu;=5xB8}F0CvOA*qsa+}1{4fJwSvBov;-qjn`k zgW?BbK@YM}u*2eCW-gJ~UXuf?d|aeH)(c!2v8j*>6#@+qNzcj%V28g7G5ZPX?$8E< zC{-B^LYV;^4x}g`LO$wS5tp&^vvC}(zwok@*Z~o1PNajyVFJcSR!qbW499(pMP~!+ zNx~zZGTeGS>~a?Jx%U2OW~Cs>$!*e*Ed|zs)Dp1usRUT7ee?o}Ozwr{DfIY<6i*0im&B8W+2&cN6wDna^Bxiq0kEs+ zexcHh9bqkN2-)BUjz+_ff1v*oJcU=*DxifO5pfvOmCzH?6->|C4n`mz&f`VseYwvr z{{b`(XrQ0=O~AVyV7TRYL!~*Z9<*;qg7LhQS@Jap7XqX?x#u^5Asww>1MFC34M-I% z2vK66b+vvCwPSrRx7J1s{?j+S0`{=&~DS@3lLNV>?o)B?$>2tVAbH#pWt?tB3jL#=|DUnk#_K@NjHL~8d{;uEs+`r&7ea&*MpI(SzjQn?60<7+&O6eSb zvgDyYumAkZ5|GOo*jzg{vGox@g`|_s0Wx>*$Aph#K@9nP7%^B{wM0$kx`eOz4hm2lS9taeDkMF(>`1bXg!cDJ(tXdEDVPNVHl zd4aR#aYzKJfp2B>HE`e%zK68thF~s?02&r9jZq~bg~6@hN2J!FUw{bpki9SeM&b76IuRU?e|ngtXFRu%OJ5 zN`fO6#SVQ&qw^o$1|T}(`M%lyoK*@0MEb#ILlzX1Va69z#GomGm;R_0453CEmati*fkwF77o8(=3%hhV(HI_@!SJr4L5pl2#J9kHlg z+<>@%yZGEd3M60Bi1}quf9LT2miEQTOTZ@JDS$Q-g%OE+>ZJtIexQoZ{oSJfKeM?k zT3n`YM6LdS*wwStS9bwAV-)@Z;N`*EL+4+{k95w8`xjiMQFe@s6*&G*OvvfMU#q?9 zN=m&|;o7lxk=;81c?fN#l!}6*^p)7%T?CXt5=JJ~V0Zx&Asa~E13qpXR|fyGd{e-m zEDZp4Ffo-y3P?QJR2v5i>f}TA74EZ1hQcJsbRLrpREwjy4Aw2Bb%4Iqi@f30*8;R|5l|wYI$($$>y|#L!W)p7 zh`U;;LhM-04M{Q5-qe-`kBZ^b%$Z=o64MY3w*I$x)KXT-jbn956_VW!5F37i(yZKv zj(m&jbl}L&4w&@3zT^}gL>O!a6u98c$mw4On*kx&DI1_zkv=@01`~_>8BmWDFbOsT zddUEMKnV&0FzBxt5F*Gf_cNgIzh^*zpMe=rnFnY9%z&bu!T7*tKtRc>20RWn0|NXJ zGzDfr_w@LmGayjiUo#+}nZjm3fAe@S17hXoAtVubdv%Q$zUO{-b_?iA5=i<3u96Y3 zwHzR41FqX36=Fw3(DSUyNAX(f|@0oh{OLhM6)!fCZyFUXI4i0++Iw!rp zMW~;)4068=?*t$gApcV}Na2k@N!vI8u~=vOLKkj$<0!GCwuP~YD$Ir*vBv>pX;p=m zOL=&dR}VTvu(bX|2D&F}AOlT;40NAYK?V}Y!j8DlK%Ct4AUy+8_v}B)27t!-r(nYv zUHwkEhh-W_&&Zb#`tX^+6zE*%Y}k0eZr3Z(t5i`9nF|3RMf5L5=RTWv{cuMeiIud~ zCQCgWupdG}!xL222QnQ)SikSnK47vz2;Z4uQy^|BR&>-C^qaJOfQbiHKUH=`PQ;2aJp*Y9j3ARKQ&!Fy|X-|d6i${IgB!0|wXPW!-XfaLLSEh&)!;zb=A zkvNbxj$BkPD-g;FRMR;DE;{u~+~pL3XV9fYJE_;Lvonw$R6; zThcMVHE6T$%Bqk>gfY5ctx!006-QwoRo)-1icBC7dK@GmxJro#HjxzI=m~9h8GiY- zRx>LY%|6m`_07F*F<>yfqpmU@3cgDVayIL)S0EHCLPm2jtw9L&S;mEgeuWV-Sb01G zU9}wWaGD1ljo7p&tOj>rWS?5_16Sr^r<>z8fCji$%t$Q277cq(lae^qRbB$pAHp32 zNTVb;)Qr84?pKs*QnV(>3pY8o5oYP2I_59^23_IU5zMliv{+yR3sCMij(}!om*sqr z^JxZJhXSA%u!#>?gNYApeG2plKEX4Av6ZOuNSo6hh?SJ+>A4=dg7? zEsVr)=gx)DVuxFsfb56}aX126onTuTRdc)Z?Z)DjlJ2G-kT?(|z@?`|q_o@DK+Z!( z%d5M;J0Nf>1SC^&S7bn{1WoXQUWaE!n$0t$!>6~<0tV=H&s7qfm~Y|?CG@fgM?@K= zTp2o1MT!V{0J{Lxaf|b~T1!6w$Wb7D3vPNNgEV|<{w)!;i$?Ok3EWk$D9_R>TsgSE z6uEmrdpuR&MaS7Tf&+YyOQ`p<)*q=4e;2&ax^7n|v2nlr(PVF5lF8p`?C zKXL&VObNw234>9Z0O@dYcq#Bd1JkWQk^*E4oP7WC2P`?wbtxj*TTvanMTDsQlwjqa zY6FH04#hk~ez7%D^bIW7h4@BY*1KOa5t`j!@^~K$Yj*~omlYJ6MEPQJ1KzvN28b^c zAvG#N_$d-P7f}A*5$^ysO%u=@H@$wK-30@gF4$iV2t!vu1&(!k~*}Kuzm%MTE#3Awt^mk_;qStK@te6D45f z-xayPSzT=)=qKMVK-mpJH>lG(K;?c0PJp|(_lOJR=m=iVR7OVt z!`vcp*!F^kfiU<{f>T9Rn??%_l|JMHQerV@JM$BrrbIXtx~z z1;BTxT~cAddK*@5?{6pP^n|mgH+$D0W3hpx4V(MG)^Q+L!sb5rbWFvjCpH8&_kra# zF!wS0$K0m`%zd)K+y|uosDUxI7T(S%Gawm!2mAr#KpEEvI`B}S=_f!^AX2XhJ1@3E zCW}UihOs>jDTrr*at7+toN7~|mpVZT!zQaV{PzrW&s{(UDuiVqm^cP9kjqPu@nIRr z%<2u$SU?k^|5-2iN0K2<#W?z}^#Y6kUM~QY<{x?iOcDdl|3|$5MH=V@oIo!C5*ss9 zcG>@|7t{m2K(GF9y?_{|7ZCqLFNnC;3y6VUK%9DBI|p>5Z=k^R0$96$>jn3{1$qJT zEL(ON&k1@r=-x&H6#1@G_m0#2A-(Dg69fD`Bi5|F?30%Dk6P%=Cl6$Io)m|j4K z#qiq~Q^KGr6@=owUN8ef=w2_7rOqxh2BU8L415v)mtJ57<~lIF09g5#UI3Ijpcl9* zNkCwF!8mB>UM~QuZUT@hVR}IX&>;t>M5fNCWB3k8grUm8i2Q6Wr68g3+Uok0j*8dvNJ7mvWy+q4NX^HcUP~ zI?xjDX}1hb>aHYAJkDH~%vkndn-c82)~nnPGCugVuG;wYGy;Kk2q_+87LlgG<ZLF;#yHjob*|?kG*tB06`CAQUUZ5)s%Oh2RoRvbu>amn!amsVXE{JWKx{O3fJfg$T&@}EpbCs41bak?-p%Pb9Hj=Qe8E@E zti)%OvBdGO?4pvf4E7HkWU3~DI&z?-dah@!vw!GjdU^tp7`bNLUht8@pwY5$)Z+nB#=*3D7vzGKIAK1)Uu&>KV~^rdPW3gs{`9Z7x+ z=}Lh6p8V>Oq6dz#gENx|Rd?W^CXJOQ`%85$M$$7n0UFB*qdk(GgG@&Zbv-6(ayPw zxbU@1)|~Fw=?U}gfP{CLxry%R%Ru4_Wp8xO*!>f6k%`L8rs(!BPwk;YdG?dkZ-<=C z;w#7u)|^c$b!yLOyK>Zy6Nz?fM3m4*A2GO}4Cfi-)r>Sp(_{P$i==f46X(2@lANY} zJ*Ym;F!w?_$AFIkv!8AA=?pS9X|5}}m zG2wI{TisotcN_|4h`c~JY&6%CzH5Mc=_3&OYl!+}cJJiEt{+_^^J(={C7tN!p=P+( z&ev*_ErNZMkG$fZi>$ID^bFYMb>65&^EFROxTWk>6Xx1|oK|4Yop${EYpzm&t6^gH zfyIjt)$ZCa_bgHz5Vy|JAC3rUol!OL@l~!9UQX_|%d;QfnmD{Ax-sb_ z)j*|{m)WqAjoA7trgzXL4~g|~WP|8Q>PS_scf|zq zpY@j%2QoEs=;X}m=6;mhxp)VGCEgYENW6Mhg-GOnleXNZLSuH8(};sntpicqEw%%1 z#d*42cj4c-_Ppi6xhB7pj1&Xaxfua7(y-Ic-gY9>N~xCZ`B{twx7c}9JZJgU?pZG-UyP$E!YdWuIc`8XoLO-9y+bF*0lt%62 z>rrci%PtvRzuuNYQA_<4-7BG8VlOQ!hbP4Z(D#@fONY~gev6)o>OSp>dnh5EttV{GdY;C^ z&enPSg6sD9=SNOc*>#JUSv{Y_um08woDVWT#E?^W#cX>S-b}OJ<%ciGVwFhTg<=aE zvp-Uu+UMMsY^{xZ{G>pHk%zByTS-3TG&`Xbw`sYTX5*#e59t?zLTBdEJLY*%gEao# z->S1#cu&Inp~F?-n2iVaBI=PyE@uZG*LS-7jmJoeSfjNRvs5biRy^8t`(A&pD7lNP z)XBZ=$WP0ARLv&m!XVV`?=w$+)p8O2K+#E)7HTZTOhS^0f4$0k;~;g{+OR;xv~TVC zpwFN|?D7Nh6D-bU|GL1$J3ZkCp|NEF>RLZsR|rt@$K434-{w1RwvfwyGG!TOz$n|I z5_j1c6+V6PQ>1XV#<8}n-5Q%G5#H{ymTdXRVVFKaoS~`D`GBwaL8=4Yon^HU&NfY~ zP;p*$hRqq7qW8Fh!x7_c%60$jt$0+2fU2zkhAo?*p=-;*(R7qCns)A=$ym-S(mo$3 zeUe*tfqo6M?1wzK-HgsyJw80vJ=$k>9*g{;`=p*bi_Y6I2d%A#oClP){vLRnnr{l` zUBOrW*{RlOY)%$vBY&y`QyJ^+GSD_hx6x1&NeV4G{LmGgBF!Bix%d*N(6izs=cjKK zowVXd4Rz~QMx`qC(@B!A3_NHr<-O%VCveu)tmCCkTuQSa_BlA>jL*uY!l$z~qs2+kozD;2yj)9F(kO zAa^oQD61|1SKZ1N4(6;g)>b#t#zq^(up8Qp)Jw|`n9Fer0ZA(CXA1a&E;+xfhHN`) ziQvB>%f28R#Ns-Y`{{bjud+RhVP}&|aV50wpthsr6VEb9{>Mx)CkcUS?Xlc(VBZI! z>clGlKKVC3^h(2Y2Jz;?!kfYipWoiLB5?n1|6bfGN_^~|8b4&7!)2Pb+cPPo@U_5h zH)FKy7tIWF+Ewz@gZ1#?{Pdm6*>m2iFP+0>>B|f6!e|dHkXH2Ut-1{g<4371GYnnh zlEygqKSBgPJw|`dY>-D{XGI&icR*M`Kkh*~TNdT684eK$355vQSxG;>zt&Nf-YL%4 zk5i>!2mZif2A3Ob+Ezb3YjS!o;3RvoxJYU5I)1kdRr~;=VHt`=Rk+OopNe@}4i^a# zz!K)?J;SoIGG);ro%Q?IKu*e<8k#z0NcDpT*g$y=8!S&NX%c4#tGxah&zobc9cZlh z9|Aog(H9Ez?%g_|-aTO(Y*cELMp21+e>ib_6I-jc0rHu^A6mVdasc48081@Udws%-hx%~-klxtUdCf^ zd__LZyx|qKYgw(wF|U;~t@UBvdAhi01h1~0+Xtg64A&f5<%Pu*oBSwC^AOLyddli65AMn0$@T{-~e* zYrSN$LC`>rm`S~uNwt_svzSRxMt(d~?lFN1Mq~w&2={0%flxQHFpAJLS*ecL}C0Ed3nf ziTEV839pX568F}V*RRPEOoLhaE94UKJyw19wiyNkb*c&&Q!Iz1(U}+{CBXZiZ^`K+ zA8EZIeOmdISJ+Heci}+B&T}}X;p=jA1K~=vj^&IKv*FZ_5S=LsXPuAGe?OQ%vZ*gJ zowXL4EiSIo(Xpx^#xarRi`>D*8X=DS~Wr;V;vf3FR=IHQY zRGjcM${xEdS*KV}s~n%WfBwArFyA14+#~`IQ$}58z9c3E`H!*qQ2b0GHt{5Cgk@lr zWuUWV;F)D0mSv#Y)|Pj~aNUfyDOy2cSOUA6jJ3LKkp*t0a)sD-sZ>~Qz;Q@CMLgZ> z?G4$ONyMp;`6AqUJ=(KUx$sGpsSwPajxHy|of9d`Aj^)kosP+g2h7C9k101QrL1(a zjp8gjCg}rH`iaY&;w3TNB9aaslCdor@W09S*_4?tios#7rKD~Ula_N$ii|r@Bcors zPR7jEKP9;GtXotCQ z*WZk)2DUe>@ji$U6B%68M7{g@&Q&Ktv#f<|7O+96cN+y`q3a;>*7t}>{K;yI6_=pX zqL~m~120SNt|_b1l9_LMpEtNrP}Hnn7kxwD&IjSRntb(BGMS72oyrqN0Jb)6HS74| z;bXNLggl2Y>p!R{ZX#*RDV{I62x9-EOpsw)sL4~b6$ns_H?o8>slz!H%Tx5@ztq`@ z>9I#r?yjRMe+NHaG_;XKifyOW7yURdhcvYQ9{S#|4Xf$Obm9n~PzOtf;!8DJS*|W8 zN+eiEq>aV&lov%8yu;C~;U9jWp{ypJ?J}PO=P2BuFIu zNR7m)u6g%)mAQZ#O?Y!5b}`_$5Ioqy{a@`kHwyVV4mUEkVihsADwZ*}M*aYAqpbzU zO^mJS66%H{{9~f$#U0tVxdFd*&<;sZhChuqdFW+<9}pX?qeeRnz@chw{<@2P@~fr( z3;Khs^iUmn?jUraTkTFy3OTRA<$1oM2p2T)Q0Q7-RLn$D=K^fHbRK?Z|NTZ8-!9Srdq_RrTgkbc zEQVzkBvot|`fp)1@}g~Y3HRqHm~OuZnmra}x3AmpLmhNw&sN9?p^K%Z=vxg%{dg!L zf$vI@O(7JGA4DLv7K%D{A`w_}DDf?wsW0Sgy)VRh2W-KEBFlZKKC6AG&Fg)IrOI0$ zb&~Pj6TAW#E5v@5m)L_(E=`u3TJXum^u*!*61q<@u-I08)2&|Y=PbDQuM=ZRNUw>`D9Rnb_!$^RPSnJ6+Ua7fgH^SDee4q6rKVCFjU1!)k=g@a?+8D4x5J(izPi zex(}=t29bIFfw$=dvUy9RZDpr2CJ!nn^$eqZ31~X%)V&mlh$h%A8#t7$vDfP+Y5(w zhLxX~z<<|=8^K~->9KE#T-shss=1Eiqt&W>V^~(~_})XjS5!*sL&IpKrV%L;i_dOZ z=qo^e=7_d|F4Ao*Y%L{fD!#%hKSouj2*M?TBq=-Hrd< zri;3cx2VraY6(komdI73W}@Ek)7#0S{^KYa&kUc5#j%@@4>Q*@6)rgcEVkuc($WbJ zis&Dj-(7xGdJ&iEq=Iqp1R=P6zbk2s`V7?GcTA+488fw%($&%wU{|1=X|p* z>KlQ#|BpSYhC^?Kv@;9-ui9yq;w$#Q9nAH**0318EyT1nEWdWCzG-L3A0*CK6}eb0 zYQulyQt(x6%W~qUrz@jV&^W_<$rU}WZFkA1nL_h~N0x!Vr%>mMu$O;9ybETXGh>JQ zH`dVqjE*c$p*?jIj}vbb_VMxb64Du^9oV=a4VBev8|V&|%>Gjma*+hfIo@d8G zUNWigdNx`-z7P}#S?J&SeG96U!nlDO@d!C8vBK;l&>t3(xIEuOo)>cr+=P35R*rdf zbaW$zhth1v=Y09;`pVHeCv%%;^?J>ISt*A`O-M`QL|0U8=aXbj8hNw#oolty!LiHl50}QyUfj3~-OBw6tokWe^`~$!-iQb9 z?bp(M+@s5rvz61!)%&k1%B(~9)_zV!ouP@=C{>1{XLIf5J=N2rneg_x;ibl`Ar%-) zNF{X%(=au7CUj}VUcIZ7b8>8+jhtz(%l&EP#Q~>QDq-Ck7W`ty&E}#u$}hf)rq{JH z)kWx{u6%1U3UJLX2LdP}!%xZ>?>uweU-wiY>i7KQZXILfeqaR$&6S{f0SyTH&HR=! z5Vy&q9^{tc*$lXnKE$Z_a^t&OI%H6&ZA&8*+3496c$DLjHLEM zjM*jkeMgSbvyc8}-M&h5iONqShkGMXgLFpCv^<_J?jxf|5X@+qnLc0Ke@WxJk4Sz7 zUvC-kygG8uC%x>kl-%z~+4?V<#bX<8q~Q#n-otCV&=Qfl+#UX;Q`yvMF=v!v!IMco zEg`8Y$iyjRwMw%FVSr2g&ABS~`Hu7q_qmS~X_E=v9Dl}m%~XVI`jaYt^R7vgtQj&& z7pVpoB;B*ir(9CO7p<+0(M3EsHYjhh-&q?!Y}1^*u+@52MtRq%2~R320QvgicgWP2 z89zC3C#k7Yy`L+t3N6pYToCtimm)vRV^<2SpGmi~`FHUiDfl?O-HG`0he+H;`;S{z zIGU;Nak(MYu6^UkX(q`df#hIjny4y=HBymd=wtSEz0OC zF7I6KkTf%F9L(;WSMs#D3^s}3^EZd8{y8R*oF^bRdocQGk7Ry+VPU7P`SbS(!tXO7 zpZU#IpH9C~vc+@i{?b6I7?;={dSuW0S{IA9uYW1-(u?R0$H|-WmsEW7t)QaG;wMNH zsrxETbWS;~{Sl&R->W@bYOQOf(8(sX>>q#P%;xn!-4|;G951>6gwWANxK^j5q_8^$ z-Hw+pzbmq#zx8nUkNFTAS`OK1ajG1t1IQbpLpf_UYy2c?y!y@s`lcT_#P!z6i@x+TWjyI#okMvwKZ5FyN1d z`B7VSqkK4PKffEJ)PBJuvLJ6`#HE0gp_Tc)6Qk-!Qfn0f2h_r3NPbq!#L?GG+o4UH z*A=W^@LlBQBPgD3ot*BuUx7CvO*&z{j1&UmsP64F%sFGt`* zZL&6zLv1w2+1eSqi=77#R!lsRGL6cyXvyBUl{)cad|6khCXYPpbjn024vXf?18ifv9+Y^M~45ZQiSObUHsi5C0E@cp8Q1Nhk2b>)IvC-IY4 zEL`rM;J0P5^bL>8^|_8bJGtRV;JD?&Z%5pdt*f4ho?H(L=?{%NXBU2Ph@&;Op7f;kWZ)6tI!dm`$^?3d#~M<5QQJvmxyOl>mU z#5gugXv^B^AkASjPwZW2;EB?o&OpbQkF1BiPOV6f4>-3jIuaPX}-M&WfKfO9$GaKBt)BWrO( zgIjDsgnKU>WO+Ew_h63x#qBuJvZC8o-r!@GzSOQ#IF~+o5uM-~72T_R_Kx(UQKi`D zLzX|8Sq9l|FRVlj-^DGSo)shdK+0&@n7ozjHSTEEj$D`%n&M6mAGnP604P!a-Pws2N z4zirXs_LMB`}$@5c#PTeRr_^-zh&x|C7BOob-TIUZ-QK)HpSnLyb^-tgyoS(4bFAI z-&Lzo+aly>-jA0P8KlM0IA71AS^bW zqqjA!Sx9x14nMfdmgzvQI#LZ_BLuE48yCcePBmbiaHxp))f(r7v}Dv@MONbk+UG4=m# zGwR`>_+8OimA3wStjVv{GpU($qTkhJo9ev~Z<4d6sdbdJ z-}>Iirk1G;y;ys$=hgJ4Z;ME5OjXK}oc7P1!%Yt6!>_BQNp41c3)bLYn@jgDO(7msKBuG^4!ouL4;+bi`6 za+SLc(mS<<#Nvz4wBMkcb+SLd9u02kcnjNQ+R3OR9=fge7VS5Crjj1qG_$A5COOCL zbRHO=uN|c)bm#d5>U!I&S8bp_=!sRjm1%;oc!tul;T`!UATW2o!x2x;<8>QaFRs857!~c{fthCr_?mvhkjuWYi|#_U^6?b z<56#G`)F^EY(B;rN!vD`T_(XY^2KHci=J}P0n!Ano^s9+k}3miBbon}Mcu2pZq0B~ zo5iGY&3_!W0lyYKyK{J4eSFHPbLZ);nCPUVuTh&==5Wil= zn}R1}9nD0fFZJ@fVQ+rbmPvO~iDJ+zb$oe6)*No4q$ID`u@k5~amY(6&vD#_eWPop zTC)6JBe)Rrn(3GLr6bFvHsjys73?BvKKgF-Wu&`jX7H!^5XE}L7~wn0bL3i%p4Mj` z0lOG)tfTkx$DP{jUDzr-1Qrum4H)cz7DV_ zOhrY#cGfauk+ZL2D@=va%Kd4gxKL|?USIS=qN7ufMxCK$ejNjUIqLw#SC4iUG}|tmF8a)6xDl625c48e~_jT>!}v5 zCu=q>i)&BxD?#Fw$V(ELXmiTiD)L`FdTV=iS$13aqm^$cB{NOlefikwSaU)IiumX( zp3_I6Z&{deVw96=sq)P_x1eKIuj%M4O%xe9uW@nl&!{C1(?F`x<1uRsPI|o#`$!SJ z500tdQ`ozVt&da6W62k@QwyWco(F5u{}xe!T;C8nRMm9GTjdz$Du~3rhKm?pwSH#V}Md6btVZ$^3JsNA}C%eYg8&H!Q5ORF##qi$C zBZvGbtUF#^@JvuB$M5-VbN`=5mwyJ18G6x+RC4}6?v%t|Un#ZYtatW_wwejHJ>eu@ zLMM(oK#GvQSoUsm?Ripvc}N!Fc;PR_?t97w2ETmBzmIa_?$8K*f8cr?wx}{ckQ#4cc03>3Kf{8sa7%*XQ)=D?-&yh znM4~9EQKQJ4QP>?Fk?9^x2IjO(h3iRJ~~eAj#;}LT)A0~Ci|SCPFpx&K9MHaJ8}6w z`SYZvXR=2xk*%9vhR2VJ0=FTq<629LV*Bb=`@O671_n00wcpVyZ!K}0y7Z|3oXE-R z$mj1cx(`yjKn7J`6$wXQ2dsx3=S_w}=M(u#GEk0jotoa6K#wszoBGIBZxZ-D)H&R} zvxn09ul#i#WcCYe^KDu3^EG)&_G~{`B1D>13TW z7b^@f;tw()HX0mJjbYw;dnyQxs$`t+_dFpV70JMu64o6Qnc&nO)R}N}6lt`pQ>n1# zYUPTx^`;T2lmAn-^>pibVmSItgWBMQD%)G~aZW8Wqs%81+g=l&9?#O3r9UQNXizoO ze$K7t`quEv<5@LVU8?+ZbF!Rz&z8D3GtayfUB1q5{nDL5$XhI?$eMt!EQgyUT(8~n zj8A=KGLq7gq8d2y4%dviSGSU zTDH>|JIo~JjcalR)q;hE)sNmj-?;R}BZ@3h7Qvubl|= zOwY{7J{R+hI|bHNDNj*%SOli58hMaux;NixSz?<7dq(R#?gy{ zj&j`LQ|yw#sV<#5NvdGtq81iDr-`2gzP0+{SG%5cnLLR&s!*+PJVEg?g}{RmIrO$# z3%92K7Q1NbD`U#Wnr_s(&1Jb2%@poq8$EVmaPU3TXw|IFm9KYkvn=jrTwJD7(O9#qP zcuUYBf}O`G8y_mt6wM>Y7<_nkjF(BU+qZuebyvx!hQUH|X8n^jsgT*?W7W z)b&{N`wPx{HZ?S6*xFA0R1atv8x@4#kLu=$Bzi#Ni(}w@a3; zoSk|+7FBXoC-cKLNd=n6M4E4vnKxBAF)AkR=dF?d;4qoB4uH}QDegfmi(5%28z8#Cz!<_pCTD^#fpu5Ngny}$5OO%z6C z7r9!iSX*0i^*>~oK>1EEEs+--<6P&CZ1I1Q_7+faHp|*50Rq7#1lQm)Sa1#Q?h@SH zT|#hocN<)SySqCC_d$ZY<__OJ`<#9Ly}x_!TK`&I^>kIuO!vIq)HH8*)f=$vIA2$k zmr(?C^%XDV@x2tgaZJ7XW@B`CN`4YGzcRNd-B?eHe@gQBH5$@M+}%bVy`I?Zct|T3 zLZpUN*qs(Fhgi4m#aw`Rn4z9HW_5Ab89S0GH$MBhjn8)OCFk_vcVN@Ta)G)UCbT3@ zjwUSKX#IP6GK56lY6HX;{~B&8+PbA2@mmOE6#3iN_=eDuRIjY#`L9HEGRdU2BtZ#fo)E@^BgHOe*hMmyK^u~U6w#dH@iXKg*DLp@M;7Ckhl{)v0Y=A;^z{+FdM_DY zE`K-=r9F`OC+xy z_HOm{ZQ!Z3Xz;_|H;Rl8f+W%%b#1Es@^HwcT_+wYoUiGY+o7d^G*tK*(7|(tvZ5I> zCu*-U8vf_DBe(&uPDnkS)Rw4k3M(XLTqAK_W07^LbrPuGg29uZ#g)myQIs&7`eVBl zWOkRP++J%U=3V3zm(823#OZ1B)+UlBg zczBNvpU5`)S==AxeUagh2w(YkY)3w3XJY+D*#ei=6YXq+-6=bIWA=>u9N3Qd5uC^a z_^^giy+%=vK^w~NW?sJLcRTSfj#gEKOi8qu5-spu-bl2YSipAD{Dk|=6!=RF_URyo zP=#7v)LfnhJqN-7;Kciqk;o!Mw1}B%m8+~NAX%6=C#ix}P~1se7zSUH-fkx_6f{}I zmCZilp_Q62Nyb8&!ziZn&diCmK5*FI*IEfX&LK_+Fu)Y*kZ2ae)6y5^+a`N3c&Lc$ zCL}$~w7B13cP4DYI%Z_Dk6aPxoTSL+Na>hGp=dOqSaIcFp{(P~sAwcr+M=>2JG9hM z>f+@HTypp{V8VqmzRym;;5)UVCC{6N`{VYTse@vCh1mw{&WRTL^#a9(jI1_!B3`^= z#Prt-#rM=YrNsSGp8}%wDLltK;v0n=rRJ$@NA;YvRL-4g1aKl~R^ve`RG}ynp3FAu z@fY@N!nwerUq)K#7UQ)p2oKK5hUTM=kX4iY_ae!{^~+ol2EUkp;n;i`DlXL;aB_}s z9QHoQxL;MtHh-ASZ{TBnoZD0~R+cZmI+jawv3MVMrO04DFIM@AH?ES7WkWIEnY|&$ zf|aW4SrN)*B}%^XTp2A~SLaJ_`Q0FwUa@v<4iu7Z2OfP^(dGNzk&%)4 z8_%3h|8W31P3+Fvwi&Ix5VJnWZ~%3=(58u2F+}kcNYki7uI3*!gWK-*?c|e|ZvZX^ zIA@nY30Ay?rn7`AHRG+8_3OvSbz~9~nF*l~liwub3r-#}peo&sO8h6zP)9~eP26AD z1t|goVWkKL8@>E(W*=*#Pb%a~!r-m<_r^Kr8k$zvTn!xu*Qhq8D?iAD?VXsopq_0I z@yjkwuB=eL7X&J^*H++K`0&4I#sJs1ZBSj?&?!z~nim=iZ`c#MQqjMre*Uq()Rr$z zDns$hq0~xK+xj%!HL@*aYBjkgrXy6Q889Im1C@PaU!y=&h;`LsC}XN)chnO%C|6PE85PqZFr`ljmcrQa+0*c$Sh4aFlJ z*~J#-^x`_{(!4@~w>vm1PFS|a3Z81%2~m=&^0%XaayNyWh!gN)4_aaIi*RH$%p~Ykt8K)0rw5C)#!Y; z=wkaS=VG$DN99|RJ`%jhk?|g_&IwXg{kKJwU(hRaSff*bni98EB;mY9&Tl$P7fhk6 zsyrH2b`s9`VT7g%1)Zp6uhC1D0duQ)Iz0V0x-{xGD<aDZ~C51*}PFYH2LW<)woDf#(Kt4vz+X<7I*+IuSYFrn?#TK9Nxm33U~CjKJ~2 z?4LTDWG6zXV)Q}6N-Vaz=YDQa4(EOPpp0LS&dA{B1Z4I&$}6JrNGk!_n=BU*WO%*`8U5ppBBpZ*35->o|>XVPt%Ht zBp5ii{aoU!IiFp*s8ns>a3=kSX@Z;{IX`Pm#0(J5b4Du*W%=>PDDIzrG1Yh>T0{40 z7egi;LAeyZZW>GvMF)g%I^Rn=OWS?}d7g2qxvgFo8x0iJBTm!qy@RH#UbioU0(hs{ zPAsf%MdWwPz_H1*mGgfjIYx+_oS^J6{KdUWV$r)9(JTQjrN z5v;z-pqEiOkurP-R1E5?g>T_jm>o0CEdx5c@T-@H3Ob8ZgF2pr1|qYxmS%cuCq(sO z$;fLxj{f8DGJJZ)j6T_&Q-a1C&X0_(*PK$556@DhrYIZ}qsk_%Qcu8|(?U$Y^XBFZ zIL#A@m*62xxtd*gF}@FTS-O%xMr=&f8Jyh3zJ4;8I6Ek8bP{K7epEEArC>E9K!U(8c$4Yo0&?~M-;%{P+}gK(q^e7YpH3i z@5O&-CgJ;CP9~L5BjUxHaX)M5yUH2F5b2x73wG zJ%DlUovF{kpG!)NlNFgVY^yS2@%k`+_k_knBu|jVq74lYYe+DezM%2vuZ@r90Co1n zt!$^|HfOd%!P(kBSg>la2%n&0(m%u0xYHCVEoA6?axJ__4fNEEd8hTx{6HB?u=`>0 z`Sl^7v?*TOvnDYKr5zAp$aPMQ>0o{v#rCeIE)Gzl=x$l+wb+&{*V%BV&VRc}$AcJt zZQ7uC9mfU|sQg~GBFa_qzt#e%OH-VeY?QPtgDI(f{hvo<2I-JjMic4@cowebzOhy)*327$DKp9P2#NcpmxH8Uz8w02y`Rt zIZa7Ak38CW%cJYKafv`_G9Ie%&Boh8(e?$r+q00(cTw61c@wJ9&RQ(DdQ>6kZJ>56 zmVH0375b=L((y;CNV=cxo9E(@?9)M~C#1?^#Z2U{+xepK8#cz{&%Oeb;}vG_pGI#h zoqC$t6J2|)Dx9#i{NSPEO8W;Yv%kh#{eFBQb$vNP)?JHrV6WI093h|&|1_$^ZH9@z z=FN$K;6JD31GCo<&W4TzqY3w{jkVev(3)wdtL$|R;y28elhImhuL*i8Ist|9gz*lp z9*#xQ-acggLAnENcI4A>Wz(vC4#$f%-$6MU@RQ-LCJ$nj;6E%REA~spHK7q-hIoen~m~Ahz5D}TNntHLdh8U3v!eN40eNHfPQ8vL7vO2wy zBO}wwtPfszdD7BfA6WAplFeCdIIyn1xgF*yU2Zqipw_5;nW15Op(+x1T_@bpKK6C+ zwX-4<;pg=|J@R#$JgqwGbwa_Bn zyCH$7xda%q{h1rjTMwb?{?O!1j@K0JT)j29(fl-MzcO*PXS|rjqx5)R?+c2xZ#_cV zpG|MRy7*X)=DfScyPF!B-HDh*BR%~;v7X)*)?Bx*GcVa|PnI?sn(IjcB@%T7HBhym z)Cpg%@cg$c{-}8R-uRfSiJzsVeq(Jv1~x!(y1TDbj~LClS-xyb&gS)Se6Vo*Yx_enJM6g0?#3#ebh`I3+ts;f&QQ9`Y8l&;kxKox zN0sc^-eEgmJ65r>{~d2pPtwgF2E368{KEailUw6PP~bGqHrj_OX{F~%Ih!`*!Ehil zsKfEd!0jED{j4Le&6)iyxBJ%OmWR9jXxCa}?@!W8&b!Qypnc=*Rc7gos^rWntW!Jh ziAhjL{G>N!_LD5%oey7;zDTs=2B*D^4n-6QB4o5zRQkdTL&-DxbJdpODFV^6;#Eu! zNIOzG<7wjO><>4oC6JetKETlCcm2L>1v0PYl8Z$f$eC+BY!aJ88<(aT{JPv7=b$WD zd~V}2yIya~c28YXsFl{y=0lk;@PBnE(3FA?beyJ@GTMBW!NJb(5tO9AX>#oFY+juD zDhCcrzn2V^aA;lrw5a{l4OReQ?#GtnBQbIRqBYu`B8?2g0zGPrce z4{W<`A^@n5t^R4{_3?bXxPYzrE)fyMo8k6JCXc}ud^_SQ^p4cj3%8w*FnV#n0%rx% z3VI2m8d$L^OVl{HbA^|Q^xbY2m3Fl*1paE#lWvve5}qCEVrRwM^XY5vy@!-_TQf*Q zq`gy#rHXs;N$Bkkyz9|cMayEFhu6w_X@NVRqRk;xEmC{#r@n`z1jho1Qru+?v#Q*c z3mG9IWfmmA#VZ&cgbN*Ggf*=L0U@HpJ2U``x*4g=m-g_|CA~;E({iSp2v(WThMwO! zlRUf534%B$N&`7RR0OWPif+pIl7fSj(vihBWk59Nz%Q5_u+8t?W_95+`0ZislpY2t z^~Qmh(HN>tY<{yVVxFU|$X6$WhbthtbvCOQ*I&hi3=Gc|9S^S$PdmrQhcj*y5v(S0 zKNZ@KA&hXpjH&O$0ztP&9T&JI48;~+t<;ADAn7|UwMe|wQ}((n-i>%o{wyNhBA?y5 zs}Dweb;-e{nRd!20sL-o5rj-`0p>WuJhK$Y12SmLHXc==!Ml}c+A}{$``L45n{swr zeTjo>NrHw8x_K*po8schlkc#nqB2d2zS=MUZCP zw%y|la|S+A79W;>oI^wzffg5PyPzQnD=m%bCe9adb8HZBfdMCi1GMA)SGgEd5E^fy z5dc1)sY;!xCbP7^k2>>s21IUC0RWMm4g^;f$A?#3eh9Nt z*sgFQ%qO&2Q*wY@Zk;+TZC659nlqa+=lf2ib&8v9VYp_!zo4d_rt8l+btVTrKi@t& z9-Yj>&0<B@||_(4WtbzxS&WY92F$7RK&6OS~r-ZNib@s(f z>x-)IcPm^2r&Z&8lGv00CRbwV^_aB}JG>rXU3$M&OI@ZhQ#$?G6>UU=Kb^^J&bWOA zR!k?s&<66ZE$n4-lo9sWu6yw1m?`){!|RQOGUnl_&(`Kb+J<#Z)>l6muQ~{<_cX?K z83+gzuq}wZ@cV~;wN33e^jqoNH$`?!>oj!XSpWRO?7n`H^vTpqs{sE`G2{aukO7X*2Jj1>mEn1ZWduC&y#bS*&<-5}Aji!f#7o zX+ok8;@X#vjb=0!Ma~vbBmb~hZ7c25$kp8^F1la068q4m@%f{h(x3wdXmi}7KEXA} zzX1OpxNx)!g?zeuJx`5O(rj{Ydn?;viD4;OFcK{RULAV}d!58_b5;G0*|mEX+whEb zc)B85a~itdq09M*a@VrtS*4gclA|rKD)GH83Z1zAq@(S%NxQ?UW7XH)moIzfaAw>m z=gJnbI37do2!Y4qScyA(`Dw1Z=+x_Iy@+sVRzD1eg?=!Y4L=Iy2M0b8v^@vk)Rvu> zS??Ay!D(f${WX8EXBSQDQ=2ptQnEvN0M(#m$lpQuZ*@4ws6O#SigRv@8i?zPy8Kd(>6b9fe zNg7X-DDP+dEzEg#Duz1H&J(1e?y-31WdP+8EXhx&0;m=xS99SM)bZM1{pzQ8w4o%u z2hBJoCs@@w)$}Kr0H-e*pp;`?Pzsr2lmzpCK`^cUwst((2$Mt}{@=k*X!;sM*D+3) z!Hk`z6vv7J({GDWiQ3$%4kzlv^@ikXi;_bnoT@#q6Giy6BqKl3^Y9a`xP#hWt`Xe{ zu{|&*26+%~sEWoCB9Q}ihcG5!lsK-WIU|Fpil)Ke05>l~R48HAtZ7I#Uw^`!WejZL zEShEnX@+c=r8wduIsQ|R*?fhEq!5*ex~C5JPgs)Nzo-}68jGHTcdd>d-|-4=c1`6@ z=4={UH-2uj{st3`qmDrDKR=uLIQ~U6x~IY+E87F3a>dW0L}&|(Ik2`HV}eJi(osBU z*P+s}$tlC1|I}c@8Tqv-oO6-$eusV^A5?wJfxKUxis-r6l+pu8q8_wI-Qz&c!ygn# zFcc8x#1#G?&^8+U0iHA=oc|dl!B?*j@0@W@GnD%S$w)oAE2`kz;1T~dcmf|3qS%U) z9cMm|NQ6^S0`l;~ch^^bitpox8%_Kjta8}_;?^?dI58y>3}eB)`3ss=w>8NWDifP} z4d`nu$^X}IndfXeR3;Ya!(qQ;+GAcq#UMac7H+{plZ@!WL}k-E!nX?QF>)h+qw{Z&6n`Vkv;SK zw)kl6nU$-Qysv^5Nb^}P899~9p$zZIIbK!p{*0O(tF)(f>-L_ zIB!Vr<^wmA+p8?%LJtqlHC6e7`_Z9-dPQcaO%2%~M6jG~%^-$s1a*c8usZLOWli7i z2Hn3b8M`RgVc@06ZvLbHaoEOUZpK6i)nGgNUXxm$m&$#Zvlm%eUJ+1spFnLQnlou2 zV`n7w*m%}{Z>+g;m4Jt0!g*26W$|n3oPHGj4iaa(=$uAQ=Att%Jl;@S*l&dW?jkEH)ydwKo1Y%EW(s$H5C8Vupin%Xr zH@t3<9M0$4v8D9z`BM*?k|C!nZdXr=n&t2fh{9%y2>V8z$8u z4N9R2jcIQQnrO}LcLe9)M9Ojd?9VUz_yqi39t0RlQBk1|PSOeahI#k|ANLMYsKf?^ zo7acZBbfI*@{JtmL16Cm7k8lt{nL5=!-r~yE;2EJr#Sl`eee{wWgY=fah=92c2|6u z*0Q$9UB)E^G&|1D-Xljp#0lZ~0bvcp_PS3JeK*DejFLK@wEMDn zR$qRbKGG9faQCe&q77-^ri%!oO?cmZ!(qLOYU-U4-_u69n_l@uU}`I8X?>!ugxS%5@o4|?ry~_>PkW-o`Y1GGd0%#(#jZT z{dmUzhU1U)1le}>6#<-!l5Kp^)Zm|rVWc!L|_3C-*QQOoojDqZb zXb*5CK`C3CQ5tQ>D2u9SNO%he3Mur$E-bl3pS-988qa4NAEhT_Mo zFYwbCMkOZD&X_q+<~y|&hx8V%A^ak?k}Q9(h|43+{;H;w4DOt>YIW~siL`1}?##Nb?P@$3{DyFMhy#Ts2hdiwnEq%ctS{mGFiFE|Gr1=Q zm=i#)fkd!^yH%5tweexJG<59jv8}+#!)U>Yz;KwBMnbwfBBa6qKd7zHr1it~;I*KY zmOiKSV0L3Pd;nI9Fb00}Jeu&p_t|Un1}QAP?vD#b_mHPIQt-lC#!{Di0_`H10==&} zY@b{4Z{9XlHu7)Ls@q{q=cUU=%$%K+8 zP|{iCYlThjHHqi2VhjCuP%}P-nBp%V#<2Mzy%jWyVRI_2PuY#(0+7}Z?!#~~lx}|e zX%0QsMh9vBE0=Jf&pD_i`K~G=r~jw>%n|1|e@OSMKlZ;vq@Pq69+XlO6Jy}Nflj?{ zJ6|Ssd8A+YdrN=t-yg6V?5mdb;QyE4IdTn!Wz}>YN}TZ!i`i_aDZPcy95~vKaSKl! ztls&oP8ptARIMcydc$EwIHsR(0H6NZwBSlmtXEhc6vLmt#mG@OfyKR#;_ZepvGJ^d z7Ttr%=-bzqZwHvnmcF1`H5lY^62?H{u#Zjc5ixGjZiify_oTO@?r8MS*N2@}!`uaBo{f%Y z7hX|WF_kS$(U~)J&3Cdqgi`o~gX9x9KbS#dpHdz7!^SurMfN?6yJWv}{)SHJ`81f2kD&^T z$F}GA`OvyV7&vb83g0NEe{2fhhy%2fGK6pa1gx${4+Laj|5gQ$bl^W>bsE*58`nUa z+i}pECuxv%5m>GbU0 zwHKd`tcW3wukX`|6K}_hsJ<1xiTv3zI5?^;i{IxLhug`B>GR3ni-V7sH=lx$_OQH; z7_<#)lgK8rAJ^zp+8%`^w}yD|bpPrnm4>hl<9T`2f zb)$U~24x3Vu7|)kiY}SlM>Q)ki3q?+6}VxmoTs*7VLKhqJ;Rz)!n`^8yr0}X*6{*% znAtuI+E}4Roh=dLL)8cfBXmX(@$;?ARSh=kmWF;CI6gaL0N-d^kw~fh8kqV~(|av9 zuL#tbU35%@AuOj?bOoJNsTNyw;o$SKH~5rH;_4J&RavBayt0H`Srk1h!|H#0HF+F6 zdeN4%qe;N|Sej~Z#)#$c_n}^F=`Wm;)CZ07c1Mw6To14d83RYUxz(nw}?cixJk+Z<<|389_A@$vdIl#_@wV|slUb;Ovm{n^raoXA2?uhH*!?#7adFM>| zf523((;fo|>nJ;V(DDR^^7rGDZP8+0JG9;WJp3oU3i)>n{|2nn@TX;=@YQL>5DMUv z7Q&v&dAu~!go$R+l67L_%lYUh@}Vxp!raPv1T@pgiDto)bwcFJx&NisQqDW^woF4L zglK?WLe|sz9toi&^W!JQ;z!Z(0L_H=>4KA+qU~{Au-^|qe{_LmtbpmM!~LLSvAGbb z*`^3(DETcS_pZbVN9n;(I?c4v}O5SCy6!=z# zc%*48zewZXqKY$DKax{9pWq37q)D)*Nsy_TXzAJJl- zxtP|k8&;)uxYe4tw1PNy*#N!NTU4;#SE6{`1~=mb*u7>`8iA`?i(qSN_GQTl0ZhbT zVg-{Vm~_G91g6k0AHGzX+Cn$%#NY^%TMUwX36Y$O5;8#@7r7~jV&r`wMKo5F3qlWp zw=pS3{A}EiAA}>^Z!U)PB}92H3fBZRv6z5$jF<)uX`W7<9cDPG%IW&u<4Jcn`+W9j zbH3*NNC|=b&*gb=_V!W>wO$j{lFn~P=>i4cU0AJPVii~34CG(~^HSyd7_nsgH?%YX ze=bjYixhbMRq$f0$D;I|;n~U-3$%a?y)tpcNQBs4mg(*6&n36T{{? zE&Go$>J40tqm$}u%x!~j|8j9BmF;Vdjls97g`OMhr7dKzbE{=7lxh@b!yBx3rKiJ= zYSg~{q^z0?NMUT-N(x-vn6!&P}0;~ zcCvByVw8=aEHG=M(#fG{WVeKn!nK<_N@a4pVyVECqfX(nU8n@)`EV`<( z5i8oq`^nAQ(=+=30MzB|m0^BJP$0d(ynnj6c5BP>AxH-2>hz1GJ%7C)+&j5App1S0 zOjgqcgXLP80c`rU#`dFV!?sZ@+uPeKP3P*?IDARFSq9e~erbsJ^*$41r_3HnV(ioQ zZGEGvs0y@^O>aBdnE^NClRH-G24rqn0FXnq@8O-5HS$@85Ta$V%n&+$yEXdWjw zk2^0eyssCUQQ=bCdpplhi&!O7L6S)%6{0h|x8$*g$pkG{-&Eu2GVgobc-4MwJKN{= zA2*Ldo^*v)0zZIdC2}cOqdztIl|mz(Ha{8|*MYnezo2V1D5k*~a(_r0E~CL>1cWAt2&T z^_t=$HGC)Jn+>Uce|?@R8VInf@(X4=JL8d444I&%ZhRln*E9f&WEsF#s@5Plcm;cV zy0bI4l9f%_`M7g!FSXuE>iX!y>+MAbOYaq%(3;iR@y*vWgYH7*F%Q(3oIJedEzKq= z?EIPv4udvfcv0S;uuVmmm{2yi1x1r7t{7mtgZKF^Q$~{3b;+|TK|75~6SI}uO8IRa z?bGQZvvIsR=Z8^r*`|C30`~)@Xk;9nl?yV7ki2z_xWvi26c|i!nORknv-!%L^C`@) z&o9@{qkY9}($-=mcQEeNm1d;5$AdSi$cG3Ax7RzzJCmX`0{(%b2-bkQ+NlmdV9jOs zWqG4H?u%C}d582)^2V%=Heo5+<;}x0*LW)bfdmu^BIvP`W$>_;j@+wFdp(+HPG~gs z5+E>M&TIHTlv(-*JY|!AW&GZ|ydONV&ZG0Huja_r-MTwoIXQWVj8Mir9_b$`(}4i~ zLi_=X7>&Jd;1;~a8-#-0(RiU)+xewY5K*NJ`;Z z)L12hKqhy!qHrr0AMa-F!3epG0}A#SPPo6=X~x*PyV@H(o}+G?VX!WZ!fQHe@+*4- z^`1J4kj>H^m`X02O$!MmZ9s`%896H>Jvrd>XYAy-B#d{`wBa8H3JaV?p?4H#M&N&d zJCgbSts_T2HZ@129uwtohZZte?8aT878Tj-<|UwP3mAMncw}c4Y_QxTvc6h~M3{{J z5isYFTMWKSD`eCPeg;7x3{SSDBe|9Snfz$HZL9sQx!^5}!NZf=| zHfs~`F0GsU-S}qacawA3E`P{kJ-`&=7#ue1l=PPmWGj_ysNJhEr#Q*0<{{_Zu!q*BfZyobY`Oh+UW!lWFL&BCecIGUqwg%-uXO;? z>t%b>p7lJBIhS{TTPz*(|E2hgvE}hw=<(E`y(mEK#6})OlhHlq!wit(O%t&)5_X2Z z7W>&#i}=oUH6^^gP+M~O<5%!SJwXNU+Qi)=phieZw8Fk!)^_Nr0Lw|T>E$o1xPE3Q zsbrd-6rl@Q5_wC_o6p;lXuL{LJCZndg@{dx!js^SQ|zm5@Ut(B=@nz7FT`G8`)_`L zF;nGQqNA1Lk%g$dk>GdK&Mg130`0}4TiI#0`Nw>--0uwc9_Uwv%VC}~VaN>rXO$9( zC&~Qvnfu;f;7D;WVy-X>$Gw=JJ=<5m^XJsLarxB4$Y}kw;~(3iot0zoA#I6TT~+b% zk%+n96GQ9ZjjX@Ucry-(k{WfxGr0rVa#7<=EsVicLg}m$Kia-sjfe+kMNbgo+_XB( zksAQYIU~W(o0I?i_0kcyGf8CJ-S6a>rLO(C?fh9^C#U_J=yJudrNHFk?1k6Pe0`(t zNQ)M2@2KJx&lB^E_RGUdLC)E;5C#$wCN7|^eriI{6M=xv$u!p!fp_jxSlm2P7Yum8 z3EuLDt>*1QhG>OSfALs;3RJzDh{j6Oy1ZQj_978f`WPR*IJkT9ev{*uTZ|qY9bJia z>C~=t**$x%0WXg)9xmGHqA9OmE;)bGKH7T;QFwR=Ny_V$M zmFpy0bDU90rny35%m{?V>lVhJ_FkTdyrN2Mh}(4cMQ>hC*jS61le0fu9HJp0Lq2nS z11zhkhHF{+UU?9tj#{e%>hILXd3BQ8Td0cDw)m7_ipzEzahKeKqLh1`zI0r}mT zUxwok<+7xGFO44KDQB~JQeSt6uPOh{RMfru3#_h7C_|0s0wB}&708Z`!!wo4LN*9S z446r1lZYDD4OM~%DUF7zZ#R{K=xx*vBLt z2HYkJP&2tEdoc1?2d=mR%_1+P6-X#1MGbi*R6>Spr?DraKN(zFJ)_8t{{ySw>q2h$ zP1kP%vmI=kecAxA;BlhUZXYG9C;_xQygL$4vNX@_i)Yz?3^|{ytARc&2*m zXH;8H>b|+#-Qd27$I-#Y=33q?LViBkx)LwX1k9* z>4h2n$qD&^$xN|;q)<>aEfMROKYeytCo}EpD77_gqCm0UaxKcW?>b+ezZJ5Q?_^o3 zOzHt8U~>O)hcz?Xyi|{ZU$!xNbR}}sjIlw&JwsK+t@gG8&L?{n{EyA ze0KAG79q?>`&~llU?0b~CqyedE$0Pq{A&e2StJWwM&{y$DdP7h`^}4G4H1+nTi=%| zZ9~q7I{F=5w|w;vWV@`BTg&z0_4Z8P51wU-1QD#LDDKd_CE5}NuO#!zR&MOm+B{axsovK;1D ziYA+5kYf>`RDw+vY^V6voJ7%EbC7S%r6J;hyY2N{VUUB*BEgPL1uJupq)HiP1>?lH zKBOVH{2foRrUmdEZQ3a*57 z<_6IjC8y6P<;Um5$@wnQq%uD-IyId#A+$!ncz$9uHtCpBw28&=V4=%gcnU%muH(_3}BQ=FMkQCDHx(!UHmKc(}N z%bI3SOZCrNqx7@dq4Xy@p!DB3q4cY`qV(6hqX1YE5PR1>DSE31w_CXNFgC@8HfP!k zHrj{_JS93k1jO&+eC{Cqzcjpt(N}N{nF;hw%x0f^O0N%~bzv8acVW+f=^0EE5?$Ex z5?!h9CjfT(eyY)CQL{Po`9?|Mde{4C;X3>V_AVjn86uxCP1AS#VKCc$`tl5BmF?_4 zT*rU63EULI|L_ze-dT!j=MbGS*y_!Fg4&iJ(#f{uj1aG9tM!Vsum3>2mQl4QH)S%5 zZm;;6jojed=YfxCFbcv7-ho91Lit07J!7AV%$^# zS+0bHV0>Hv1=t{oo|ZtyLj6wzkSzCK%avk1sL*0Ed_D=-kqHc-AM)K zqk#ULN0uuhAs8MPfJqJqkVMx^AgiO2FjI(|Y9q^amk_*)3)m-z8waVW#s> zLv37u3D^K`JM`o|YQHLknE@>X3)+Xz%a={Zv!MiaT&HoiJ#IOoIf8nK5P^bZsE&n% zA?ecC0kzz@=>T}g^8;*d*Ibx>e>rtzK9D6UZHB`_a;F$9w6H`S&2W@T?G)3)<(MzDILr2r2dy5MD$0e58Y_aQ7o5pOm~5 zY}-CS?TZUY`1t9K2mdRV2_5N$2M_B%xbr_N{}4qLZIuppmj}cvtt0*cP7c-|^Fw$G zCf`|IbAFHHgRPzhL9j7#EeSCNVXu_t+Iu(!<&27*c(kwd@5c8XBNFBdqhk%~KBg;D z(GvPjWhnM3WbK+Hjzvmk_F3^b?kG}n<6*tX*iCOhzX22XO%pdV`q|7kk47J7nNH$G zJw#RtV*2&uC;z7EGXFUw&f|G{USlCT1I#R}lG@MCngz09b3pNm@VQcPg5=`5A2jk< zA{Gj&bs;qJ;Wc^2%6^&&p8y5$b9v=v)QLq=;WfBe#;o)s7TO8(O;ly}3aUGKmTY~H7w+1sK%@EstiG9q@;7Z-+!m>sFaZ5 zX4325f}uQ}C-nEPh|B(c2SS2IiZxc6vnRU2{wWoO+RiL}yQexkGB!m#&^4z0nH`pn=^-yKUTz?q>}CftXQ zcxmI23Ik!WcbW~pGT8FMg9EbQru)Xux`|L$a5dw)5!WpVb`YX8 zVAp^Hgx+uW!Z}~SP!pooOf{R64`ZX?Vwx@qosgchIqMNVc}HfO5L!+66>-JpM|mIK z=?wYz9Q@3mw1ZpQBL>m6Tr;60TvSc1%`LkkR#{X@cjEX(Qtk8h^*+@al?3K-l&tQG znUpH-aUYy3qLSIgg2Sg2fBY@oqc}(K+xW+PK;{yMh9w~T;g5{oEdU!iD-0zBg4duj zs*Fh$1j2V`P-kW)ec$dXx45*%LlJh}^E;Tr|9X`Q%~&QmAr6`HM+QF)wz@D>=^CPe z#lD=dD~V#_7u3>BU$-ZOR+==xT3?6lVu@J5b%O?_a3b~0A~ ztVk)0lN3S*qYy++2geMEFA%{=sxF{?6V0^}a=nch@(@qrb)77>!es$o(bszE#Ew@Tvy}RxQ+>&!=H_XwLSiS!&+@!}w6fx=D*0I0s*X z!7N)_ie-tFlXLJqf8hhtr!=k+|7GFujY7@X!Kn^FFvM5ch#N`(FYdGr_*SuRdoG*f zIcfv9e7lpFv&Q;@Po+BdZrh~@YD37!cM+%ywAh2K(R}bLSM?z-OC%cl8!?HJN&#lJ ztm}HHHT05f{d9*v`hJA;PxyFs*CllDfJ)l;9U7S~=j{HAbN}!YlliKI=VaW&_4@Ij z`Kue`SleQGH|`tvTj~!%%T@8LW)22WI97Ca27XEi85*0bkXV>*>kMhL1A~RWVX73k z$*IZs3lu2xOnS&pfg>Se6c$H9y3&T*UX6qIaJ_u{p%_C`2F=C{OA zbUUQugnhBbUIm;igcbdd;*MXZHOr$}U@_i=E0PECTC4LubVz?HXc&bLhRB zMrXXGdIyH7qu6I?cZdo?1;H^8P31xUJYp15Idk=L7cNvf_R?IJ35-d-t-hY%dhWR3 z`a6tESB<9f$`>dT!x);*nJ0xmG<$1z)f?RI@Z=io{|{jcbQ;M8Wfn=QVG1$MRbfxY zYY*`c4wxiO2xMh;9O@kw2$D^{eb%hC>9VKa129aq z*brIyaU3&;)YAJmi5j9VnjvoEMha->Glf{PzyQ7}ebx4>H-^B#UCc3tnBjz-Vum=( zfHK4R?lkePPFj=86h#vBS6g7yQS6)2gDlhWtqcF3YBWwzN`P1OdIgmg(ZZ@!ONWV= znxvJ>T|Y_p&iLNN^z_9nA+b=Z5w%Q`qA9gX60>Zy3NYacUq6;~%rQVCig6sxpc?Ok zOq4w6gGv;tt0!-FVF?n;>82vf=`ENLmFk$MIcU&XG_@c_Tcx|qy5X>`dEf1T*W?^N z=+&Vchjv)i*R9h4-65xX^B1xAf@udHo{rvDD97Qnk9seU)tXgRmAZ#wgE#a3^ZVUF z9n(2EG=ZzI!8;<71WvnnS$$w{jKW2AsuwjH{!KS^k_b(d$Unriv1Pr*HuC@qRSB*vJP6s|?KH>jtY7I}> zDw|-fo0`%V`hq0G$}%mVpQ|aft&I4>b-cB8UahHvkAl+AX(gwrTYlSmLVDpzE~a^g zA+Gx?iXGnF?k2{Dkh^g!5NXod&7@ZeFKfxpu(uvbjg3b(^7~e#{mbD%)2oxAwr>kl zCI8wKu9&|43K5h3CgDez(|RnUpc0E78e8k)*)Ym^n`#<3I|qURRXq! zEpqEiyQgQ18E^lZwcc@(&k*4v+@e~Qwv|>I@;%#4lZ)hso&0mLMYZQ0v9Ow%XbEHM zSh6HiYW<8?zPQ(KI@)E1ME-Q!v(Ri(yknnjG8;7V3Y!2cV^Lh5*p10wXpeXpPJBbn zPJE%FT9-L1rgtuB-pR;X5&Jj&F-E=XMBIK&7Fhkm9P*?KWB+G#lhX)EduOMVRb=Og zS!73&MdYtKJi7>!7}Mk~)qXR6|LTN-8#dS{OX93+KI^rvFKh{Y*W!1oDQHTQFK}a4 ziqIqtDp zIKjSYd(#jVmdGD0_H_>uBlE%7pEHH{PqGriW((P$bAp|6t{DJX#L}KhbCk{yP@7Tj z2I4-wBQEP@)oqBuq}9L}J3NzU$>P(`(&Z{oLCL)*Tu5be3oeg@-C&l+-0+_+C0hOI`_TwW`@%=x%Jrl z&H@rI`M7O3st#v=%67t+vS2LsAFFset|7HMZww%F+PK^`9*>GGVhtJ=t;=Zta_-yn zakX{)vv1d(tPC4Y1{sOJfkoru@>9#UwPiask^SdzC5?>XZEZ}f8n<;ZgQgVx80S6s5!M7eD!H81`tjDuN@@FSbr0-Lyw#hv z!v^+<@%i!N@sq72oe+7JY{D?DCWuU0quiLLaRl+z{r6-xSCHt9?(afZ+P-5~*sx%T zNul%;%cAtl%c1mtmq+QZRzQ(JleTI6f7<#AfH;WyvTU#_Tm%UdVZC?oU@vITxo%ID zl0AuNcQoLcU05;gja^WYN~fr`O8HV9t2ZS|6vlQUB^a=_uC8;Se&$~B(6RKgY4vW` zEf%K1qx`7ERZ##-(_l#& z5>ag|qWN){cy;mcMrPr{!L|_Pp9uNI*YCljkEq1k3gJEiM5T@oexZtci@bn_mjkfofw8 zhV~3ZfG7-D1ONhs2!>P`AQ?=U1Ymu zWYrP8xR_L;HaJ7cYGKTTO@w|iNjH}8&qS+tgf_v`LzN162^E0L@51}kgETOJHn^Kp zP|<4P&TbGbO3^jw766&wEQ&zwU}E{(K3urX*IZlBctplS?NAeN%miw4$?`0svfCX} zKms)qJ=hxE6s z-$lX0&nt7yK;p9>?miLLH~1|hZz>)R#j!Gk7J4#~PDK(~enF7BGm^}}5TSH5{epm1 zfV!Ds1tD_0tV)6@+)|9)2Pnt0&9W6CDs^jg6jr>j*bB~En*$DyrcCx2D-Bdwky1Cd zc*fwrNade~hB0xf!kXnIq{)Ib`ehJb;Aupu0{~?ZJv-2%)O`$(pz+ezXa0hPDE+4d zL}d`6g(#Q_(gu>$0e>-rSP3ZRFl5!(eQ`DrBK61!W8!}v9c?gb5vq}Dq(DI7{s!q+on+^@$a4v;}+ zmm>IIci_w--%VjO7{~iD`_ZH7YXLk$|KS+57bW5MOo0bOog$INd=?L9;+?D6J>xPd z0^D0ac@aQ@K)hpPa}ph|%=W?x^%Nsy5#3vl_hJeEMWSthqE7=}n!u^Eh*UYJNo~;K z%7*N!%6&@E)v?kZY>W+1!T+T}&5Vp?9p!GD5|XDeL}fgog_oEK-;5-wzY&#DhEAL6 zv>_Af4-0lj1^8frKQlw!nj)BtVU8v+SxVv!gQF9XaZEZf7w!*>u=pPQP*f{Y69aT6 z5PFaaT}ubt;8RKUe)l9<>Gnuov6Nt?+cVwRYM zP|;Bi-L=lg%?B#;3Q5Cr$}ko-e(j?SP5R7;sfMLBKYk>N9Eg7s%qKES5?FjgJ#bNB zGAe#BOAweR08utt?dO)=*SKeuEv9jWo%#)74Ssm4~_CO zDJOJa#@a6YJYj&Azkpj2PblwmU>>x%QPO*=)2(zq1eUz&vu~C0aMHF0o@?6etNaKY zI7eSv27DtF7LdSfg~hfQzf4w#RSuH23?;mVg(zbxk}?n4B_t)opM)Z!x-npdks^ag6q2MS!bA{uL~pl2 z(h;ZtNqEAG9WCZ)WR9PBt83s9G!g?XL!YMlCwPuP};KoX~27|;w?a82TH z3NuzgqH18aP8;mQfv;dq>Ka-R>?Y+irY&OzSw=IZEk_+>5y-&wH6BW!3fI1n!I*Ie z8WfZKiIP4q{tV14Z_K0M9!~na!J5xl1j(U9DQnp$hYpvx2?Hl8`AeV_iHIws)GG_e zffYH>89atu*uk!MP84Pukuw9PuMM=s)sAQyQQjD4cVp*11OOy;VDbmEs{z6h1W`BN zQvxb65zGF>5WrA9^zV>gGA7Q)SR~T-Sv<72J-QONVN8RW6ml4VFc+t-ff+t2afBQQ zif(iT7X)fAy8T=QMVh-${}tXL4J~0HuTM35iSxvCqhOwc2SF4g0UWL&7;HL0c+Q{% zH(T5{KSDLBC|TLcA`#wgyiIqCOfHoOfXoMT@v|{5G>L8RY4@}b#5FE}Xk$m&bo|HS zGG~^SdKz;xT}G=nO;kmkql%9cxntVWXH$5)EyWpX9;aW2?@pTVL9G(_yuj7art zWU{!TYLXCzbPZkrCm6VfQ2 ztE?db5I+2JY>56wfHQZ6F(lcL6ouiX7orqpW3@JB z`HqJtaxFe!i7g`(c*^={`~$=LGh)73a=q5AqZ~?WZ$PjChC{##+?nOhJP8^vp+L#9 z!Y~fgmV{`JO&Y;=!Ccxd4R{ik6j?ilCWH`28wPmTnjt^;ioRf8&zSoOx(sCE3 z+^b6a-Oh2|!H1DR`wqkS`pdAv#%v`K*?CqFE*X6pl=_*h5MRV}#!AfBJT9ZsRDfnd z9HW7wNZguAI#OUPg(L^4IeR(p#$DZN)BW~~!3je5pA4VFE#_V>@dm&=*oH=NYj@}*_u5%vxEq7Sq=m4YU(daDW+JD8s3MR)6iU> zgK+PJVpa)9T;hzGN9Pcn7K3n?2qij;=tr2a)VD~#2&I~0Enk_m(oH=xP8g+`@=r43 zn5BPe7=-_*ryZEL4K30^>M6yyv*X)1@zQj8s0BOaDZTkL%xI&$RC`=*2&pj>k59=5!7tYI+^?47(-TV$Z+GdnOxLBYX3BkGlN zgKVQ3ZTw!zu$^mqiTMe_sQq8-a%r3g6rjRIF(g_%^$f9+XU-3RF z^?~$`(M%3^jrfrio`~Y8O*}0gHMeZRppHbd>5sT^Ewd42Stsrkqw>W%G}P*t zqBK)Cpi0u2yWveZI8UVOjQ|zvmg@5ebLH?~k&W_!3T=$3Cg|T$`#~bfAMOF~3+u+l z?HKZi6ZG7s5a@svEkU~@?EtwNKghnOhd`QPKDt!SsP`;x{3Fn$-DGz{Pa%6EWsuzB zvr6zX04#^%DQ@ZcBxt3=)v>gQFF@}G9e)e4{+W#Y47t`Rn2Bz&0A{P4#|Ejbm!}4) zEv3|GHi0T*CT88lTW2!f7(0n7Gc5Ev!dthfu*Pv1Q6wbzI>cK?n*TjBkIyJaGXZdc zcn6$ywCG4CTPA~@Q~xs|8s41l37m6m9HPUWEw+)4m@LcTZS#3~t@nA!tfw^P9?^z# ziCIEB#yz8&VIi_OHp7`PSbXU2%7G?_by?EbEiFWyC|2tI2cA1l&PP060 zg8l0)WR^KhNN24U$oIYEX>>B<&bX?+ z+x|&3Y5^uHIPvA~d+|>__+*?tv##7(Zd?NTe3^Vv1YB}?_bu;LQwXh&OOC?fxse<>UJiAemFJ)eE#f9wksT9!GC#GryI}=3r<{Fqc&}M! zZakTR-f1|#9iBrrp`)E##_DAO<-U9G)~IDmPZzj@%%WpJFQ>1MkNYyI_z%0Y$i0W9 zn?sMTt*Vr;2df2oeJuukbgT2$YVYEKmnI(_W@^XOjWklHQ!KoWijMk1;Sek#`rA9q z`1+~Q4D3JQ>4L8+vCKH@z~+7;nQ6u@{ipFbO>93YEQ$Rz{;6&*w}IOayDny*&rJJ1 z%bZ6IX$H@<Pi*k!}LFA@8AO9Oh-u-NzPpHe{;DpkP^d34j9~wjO2~k1AO>@SNRSzlI z!Jii#$Q2>T?udF-1UBlZsL4YrD=hodSR0`v~2SJQn30=yDK<~IsGMWhN zq^k8l!`W_gxX^~Bem-v^ka>zwxftv6wvd);0m1!DyZt^KN9r5JdhkY067eXzP<0K8 zupLK^2~+s&^Zviz)y>)ca+;Y#wy*98TlOV@-LyM1KB{Gi*_03TlP!|6z8w^$mjCns z;(PpDmj*IeAw-+w=IbhiQ((eR6u6Fl@e3(ryKvWIV`|^y@&^x+t0sS~rZs#L{#uaJ zcjuk^KXYW9BPR=8$`XibfjH`GkIzHNei|3T;A-g`*d_IclA$L@Jb$%$@s>?@@k{KnAIztRbT+1xuS z<;KQ(p&6Kgrof5$x(_$#Cy5}|H$H{y7N~Qs2mx=i8I#LM3(o{TJtiuaF}Br`G*ypr zJ0Cd??e%E$giQ}})6Dqn{7t!|l%4l^N|CzgK+0w%zz{p!_kHa+7MVxbWZWS;&`n>< zo)Nv5?1Fvk1|31PjwMemZ%9+1IGt1`f6GY6CNkDUNCEywaE+ToV3Lmyvq&9u{Hwg) zVSbi}j|m%)!{{j$9B1nkt%Fn@{p2-KFX`!gA2EtBr0z*{a3Y};lVtm4dZn#G?yfLu z@|~JgVw|1iXyDzpTuWI#(39?v^-5(0OZtN^efWS+m8d9t+xDd<)JzIX?%;9Y!}_}x za1=b_@gy=&U8AbRBw2>$X4ojJWBWOc|Iuw}k}DSYlk_*z9aIjiZ|_kG>n4=xZb#-g zxie#m=flr7F;ZjYR037cZ&slR=vMJbbM3i^bP4*qN0R9!Z(oQd#!CmTw4DlNS>8TI z$>TQ`JPf^mG&SmoNrnQj0s-F#Okm~=Z*DKb$9Q80OmapHZ|tta$2#K&eip4EM`~0{ zOddJCBNbQf?oeDl zuoIkJzd$v6)CUm}%$O*P%lLWQCe~X$;ymL5XXQ9LE>gf^aIYuhjY7VmW#&uX)D{v? z-4=T0G>#@tH;|6IA4)3eQ=NEd;;YBnV7+K6#h6&9-$6nq=n*B_(5*bXLL}Pom?$@6 zaREbM>rs7^3e~Sh^w+`pXl8%2wS8J&yKZUPwZ|FQW*2|OHr}#`@+@F~vI?qUdRfPO z4{?V5#PWwIYQ!@_E$DH%on>^#hIPRg5c$3+6{8yAtUBTSSaYf0RN*^fzvl`yvxJIT zeEG;cy+Nyf`ZZ!AmggzJ!fE_Uz7-LixGhwk`H3}XhWUv%h=JLocxC?%<-(owy=(LQ z3u4#<+#)9h)alZ#M{Bosjr%E=0_=#N30$AjBhpHkLtw3BDgnAj~%~ix995wew(|pOC{*_x-DEXFt}BOWvacxWyk%@`8+^|@~e z{AB>CO@gBQ2lYhZqrX~B^F(X;?B>E?mL~_m09p;}M8w3_Zm&Y3CQ~1KsbGEV9m#0K znk>z${)Lo6x*ng9wHn~xh={F!>03dHc29)#0^+ZnloUm4&CWw2w}IGS+Xs-M%jZ3n zGyt0h_$ZPL$?(c`I#Q07npLm z3jPVHlE4E=1Neb;acH$k7fYb)ayNp9b=JW=90||5XV!G(apK~Ail6O?k;`4FUm&HQ zjQ~Tt{wvQ~4Yj^S3YLoA9s`Doo~_M$B~y4SlDM!{Rd0)|IPRCJ*B8fhIMG??e8e_I z9^zw1hqqQ)5MtEE#K?!;+U z#>~z4X}J2yj(7Q$^GtoSnB;-+fY02U{U^7F99!KFCxrowZA+D@19lS%I6{+63 z`hT})z_`LA$~wl-k$%~gczszK+Wj!2;K-yRgEEL`{w#5?TVj#HEN1+E90$96g#kPY z!DbQJDb)O(bqU!iqtWl<6ozY{AWxkc=3RpmG@d0Sl8%HKc_B0QltywolHWKlPh6zj zE6dFnxWQDp*TxJJ#8L;i4qV{rCMX@`7^NCo6GFeJE|e__>ckE+WzsQc&_u85py@2t z&y=!R2BcB{Qs{64wxnxZ{~~DYn#W+V-ETuWNQ4&Yt=zZ8;LYX2v0(tO!d$W=N$Mbg z6!jI*>mW-}j{rRjiWGG&&;xL!p6M49rko2qS&uOsxs<D}IT#(ovR3z8LnoZMC;Ig5RmPzj=TwD5 zhQ=;yP5*v1ir9EXoS$PZ$w84&qD@Rv>agem-KUDSI_C5WUdgaBAE~giPU$c?gi0pH z0sf=p0IZ6_^tsso?T}u|g8QTVW)+A5JRECIc8v!c787lADb59SN8&ouRn3^pdjE3o znwb9|mo{+~{i@GLB}s4nHq(a&fz-Zd2R5(dklQVndSi#I;GSfe)GYeh>UO^GGk9_j z4{Yh%rj+2pl{^@=z`-Tbcbd`DB{4`EQ363Bn3NRy-~@Vsw{a9eTkXx6wBUaKFkW;L zOla(ekR(vmbth#W8el%HRQR52Aq{;zD(VtF#_39g5$uiyF9w-*_|$f`x5k9o#?6~h zJ+JM5VJM1X(q6!)cN>W$dv~bzb*cK!2Ai&4d%ChLsL|65^63ghsTetpvQl;(A0biL{j|>N^9{fj#;_xV3B}yV62O9EEh_xzh6; zFVcAKktgE^VLIK)kv||qGj^KR!7AWzFB)?BGv%W)elQV*|M|heHd2UI3h`!0c%QIuAumn;yQ55tO)r1~6NugPn+_`%I(&7MHHl*x|tKCO1(~)xnjL7~S_5@I5%?E5*P&XjJ9V z+!{d`{Cf&o0JE^w#ut*9OI$%H4r24DiqLo)+vTCv^YhwO{rROkpV1Jw7LC{G`p2+! z>kt=b2Uqc4;MZvd0$Y?JyQJv0){>yKWXkB7>9*Ro&NdA)|Hqle7#cdYdq3(b_Q%T* zZcx~VdA!Q}FE8F34^h9X`w7m$TkAMn^v^E5jwXeKv6+LQ&w z1T9WNaiBp2P+pBdDw{wYsy^NuW$UlkjlG?vocTBk6ECY2cw*>ke|C8CTrWMmc=<}c znDq(8yb`YZ0$QxS-!iNEwU#a%8#)xOpvcUVjuDCNRir1&Uc8er*s@F6Z2h*|WRDP^ zqoEfSXR8-}ClxlHgyKUYmZoN|zdOBBTM|+|3mr;ph{{HyS6P-}A_=Da+cgY;Cy_A+EnoM=4>|Kj2f{x z617K~U`nE)Tv0q+YV$##kPZq}q*kd+o|;%=R*YOha~ld&Iv(85PV0<7gS5JtmfcDp z>p1o};+3`IxT*P;LVP*%!XF)Pr@qIHM~;^pP;YFCrk5M7u{-tJjp+Rer&XY>Yj6G6 zmg&mG1Ov(VNrYAn#*|8qfA#K(4Dh1qHYlgHjKkcHr;@d4yUimccJx!P zRdFFunCn}2x(Z-DQvKkd4vYwr=^LL)%SQHA@s9S_A_ROu1_*ixQT%7oij%XJ=r1%R zqpx}vt{oe5&p9~UM=VscoCPWQOOV*+>&%UHh0*Y~$gCETc|tl6h-<_a=ZfLW-hmSm zbjTJw=|6EYrmpNy*!7=BIqya>2^{68IRcn<-@Odpo7`)6$3uw1V>>@5IYP*s%XjUV0R}w%FK^}X=Nt(lV&3hGUX#Z~hvcxwZ z*(2eF{xC}Fv5QZHvvqB$2dc7A#9WpgLq#ZVuAY^TQGYrteAIU}#-S?DIi3G&c&@Hq z8bBJGV^ks0@rkdxM7KLnan_@%D&sN&D1WFeu!4jK-g4<0*6icgcFV$Zs zv`17cJf45_;C?xPMuicV%6nAioA)lmKj~79W$oJd^~KJz4n<7aSo<8KKn|_1s~Y;< z2<;kIAS_6^b9`~nQ7v|aYZ}_99f6$2RyxBx>$8vRx|%@B0KD78)>9%?L?bnxxc%yh zH8?HKDCKbqlPZTNjzCywYFL?>bdJ7owmEcY)G{ zPoqoQC0*sE4jWQA)0ORePAI9^Sk}?4LqRy^!d^RvG){NlBOMP42GbJ+v#4PI9dS*E zJ68^JkvmGq!lA1Dj6`odoUIO~zJE&1{MEXtJE1i_HGI-wH=g3GUF*hHQU*IWmBA?1 zulohdnTxB##jda^I0FZ-6#u|^oJu2$E?x~MMq4CL2JcQ40tUt%H-tuP%t|o4%UD}4 z3%y979twCS2xDHJ5lS?0D@%IRsP`0`9+8>@6k>LWhUVY!r8XZ!GnyD| ziSqQgCB8h~hAVyrQbSB@QOy}3l=Rvi*BNoDz0T7StT}fQt;_PWAWJLZs_cpHJMGD= z9Y!RYsnk)e+6y=7#E6#EPuK5FPj1Ub(wA+|9U)44kMwRE357}XtvOrloXzP;%GeSc z^BR&y+qfTs-}eu?AGM8)l*^9^k&=_9%UA4Y%4oQq+n5I);|&9A);TLY!c1(_ zuzKiC*1UBnm+h~%tTsGz>o;ffKRM~hyGPL>lRFYVL!xJ~PcVM&JNX`R7dcQ2{FUs< zc_U7MAN6CbN|Ci`Ecr6F}NEADp*5=(wnjz*y z3@&-ANpxa4mrB3vP9EKk^DQ3bmEk*F^3ja6?V zOym&KUZIUTG%)n)FPCoD$m;HdC;(x?kSYW_J%@_Gb=e=oWwr!%sE#_YI&>S$<+hA= znU0y!nV&a?_nLFpQ(>t{UsN4Yu#^I#(Omj3>=T%Ww-}d0` zEr#>BTqtN^gG$)t@K?uyXl2*B|5b_Z#b|E_Uk09nD>;t7u})=O@XVSr#gW2YiQ3)z zg9jY@>V|pqZ}w@vOFVJjbnE;&h7-^2eOAVdIIQI-dj9%TTLuFC87^KUZQtz%vTT4_Jw&Tp|-pMIv&cKg~y}ZC*EiSA}Gctyy59U0E zI_b6rdCA`;ib_?ev>|tyJz3Rq8}1)GcABJ#0I z$CPSRpQ*s~FLkqPQ(3_{e7utOuszP3Txi*`ynGR+!aICBzI$BGRsl~<6Sa5)73pp5 z_Q?x*aVl8|+bRkpr+a_K=qo|u3}sCIW|nV%y7vVDQ9m>FEUwr%GDRTO;E|Xt&iN)p z=;=4c6u1e239i>hlJ~XrjtA4rIgB*B;>z_iX+)k^($$V^TS9L+GA2vExn&4$XOPCA zgPowN+(#kfV&SynNqd9@d&KKgHhQ$!eoVd!72zp{d|j8P4Dxl`rEHc`H#s?%mnd6m zF*6p-l|uPiD_rT3eE*^R^e3o8A=WXjU)^))pX5})e7>O|v+Xc8TH_rqaKW>Semx&O z*$gb$)pg@HNGC(@&kEVGASzs|DfF7}fSHA+`Sks+K<1bG6RE)qG59moa9xT+<+e}ChWU(O)Xy2-op&?j0u(VYJ8M89Qkwy-Sb2b1gRh=%FI@BUaK##?EnMWv40{PUsAl z`3|YRwbz9gn%tyD{)mS(Le6IR0Xf5M%n$WxT}p(s^$OLXpZvsTt+9ek5nR!#FeCv15H6cso?^Wt=YwA6 zv~ZUQ0U8{0(u=61v}7n*HMMbU?FjbN*n!C}RClQe!~D)3VU7AL9)^#W-M8zB^DGS^ z+e)7NmN1yO_vsWI6r&*2#qhW86{2Ud*G zQBm#E^>3Xkx$r{CTZk}x!x0GBIOcs2r)o5}Hr0HV%7}4WVxLib#o=lm7l#D z2TLWzJ`+Mbm@#2VGHuJzAD@zu1KVBektXW7-|z^(?dp;LW_;#MLKxg9A%|9DzU3o_ z*Q>v>8{_3cPHRNOd(2MoEh{JGIXq=d4Qu0{gbcJe?=)Xo<^S+!FP7p>vA=4masTl% zD&PQ}C2B?&u2+DO%iF=zBf0H3cKzWjczPOtgGX1lY7_iy^Ym9T@SkPh!$U@CpKt3j%JpBKkhJzcjYr-d1{^mJ@6E{LY-Oc%Pjff9pTJ9QpmasPR95Z+Vpm zFKL*g@$9Y<>mE9m5pcXE!Rlt{dU(3gNW0RH-R7(k?|EK~&3$tSeKg;Ce;M6xhUiY|s6{ zh`#61#TQBfy!Vp@00gObGcm`W7)L~ejnL0xgQmC z9Qq$mWAORsX&(p2Gy0cFH_Ll11U=3#3gZ4Zw=xtIx(@rm=aI#)R?E*w_&+#3$}_`Q z^$QTZ|11%%Tj*1W_A6s>yZzWWPJK0^Sid>O$$c3dlSrsmM&IUmQ4{UR5HHXdX{@+b zDbSZ_tayBt=n1eWDJH;uC;y&pHZ9&0Vo?$`6XS<7C;uV9{F1HU+P@bdOjjlGn?I$4 zoxR{UU_$SVyx=$HgkDE+!SDMr4EdakPzb(v1&M8hqRUkRf_G0!SA~y;IsIVe#|?$3 zk6RT2zGsJ74!*aWIepmDie2M^p3jOJQ!)iT$ch>(kp;gg^5hvAqWo?as1~IT1`701 z6e$vrqW!c4vw8VBUxrJv`93yM3H-Iz_fC#+W=u}>B7u@6^LAN(+!g3gEp&8eMfuSw zTyg)}I9|ECuTBMuzn2aigZA4d3a_g%Dv0f;(d!iYp0C-}MLmx`9J2k4PCL-zzaO9H z#$@I&*mGWij0B36!qdmx@04K0-z5^iWl)B5uFK|r`)pZh=JhbX=q2K3IB~y#C*o`0 z|2%$_ds$o%;C>-VIMK#KkdgvfdkmUxPv(_bLz2jw1*jrllyR>;XBM@<{(vA>UGDD<#c zrjY0%y`C+dJ5Ghf&$z!m#$oW;9*dl-<99$M z+_%*^ef}P=-J;ZwiQiAhKOZRU`Jcxbva0a?FUBzNbLj+rhvfRcC7Ms<{ytsV_PQ;~ z^*yS@cZY9`nT#;0bHT$%J=H^dJKBSp1=54mf!yU{_}N2W9FWh z>q~xYcBkaG>k?tRt1I=A88A0pdxc#8kNvi(n6>7uZ2pIc#8mcsrQM4AIwa~Oe4kwr ziW!?HwHa6ci{~1o+$`RX9?On3`P`44vDX>~^%8=o(XohEay)0B{kB9T-EEtel9^7I zTQCNiN2KMj0kbB4Uw@5gWA2lj8@vv`+vJ5rbNL+XT>f=7*8A)GvT8RwZHcuk{>PtV zVTpd({O{*u3wR4*?Hb{3x!pb9mDXb?EcuR`Jr{-xW0(7Fdx`G&E&9Jt_ZCV@jqkC# z7fRZ_z&p=UkO&kquRmgQvx-qlz;R0mei?WT9^Qj*j2`93&vg3S!Ya;Q&|riuJ>3I$ zyYWF-98B(+ZDJ|?2D;g2F5r7BRV1i?p>i**O0sfiq!G*MFzB?I%m3Iv7N%J@`4BHp zBj2XWd*X6|=Iyn1^AJ`jFCbmy(0F=ptS5JIGQtz(IuYBw7-96fZ=Mpn?UP9M;bM-~ ziS1qf8Z-<`a*@bEklS7Jy0@Fy(k=j0X+;@u2s9875Eu|X!4z@REb6r}AZGyz0)hyP zUF;q7O%0uFJn215jBRK8tiYH6P&*y7?r9j5o5!|x&6)kmQ{VKu!XYxVlMUE$5-9Zs z-R-?p#!k#bk^IcS#7^FJMn{kXHI&!wzFOnr?Uo11tQ(1x6x^--?;ExM%~cZzUKA2;9Hw?Cj!dMDJ#*C})ks1n9zfBiLdBuAC?&vt3X* z87qO}ND_n<`!aP2H<2)Fp?B3YBk5+KqVL9L&%Y(Hup<$!QvV+3`1&yM{;)Z9LBXqI z{;p(rv5wx&6DEgcU=vP-H(HqzdGOuxnpG3(!Z@cE$;>6#pB*Wj$Q08mTb_G;XHLtq z$;-s(djC$4Hj)cMqr0}d03y0-;o{S}gD3{da%=?)>{LpW+@hIxoD8=T-W%I9!1L#A z__Q0UN0eI7Moh$~eU@c`I=qg46RAwQg?*7(#_~PbOjNvotyACg8%y7QM zx6k^Fo<-TJMx?QQuYBpUcv6b~xhyDxb|LzmLVM1dbV4s`;`{du_@b5mc zFtJIFSF-MB1bp3we@A+3?W0|eSihIj#R}YUAohlr@~-Hkr5amW_3tUfVJ?YzcH8Z^ zx^!AO=^a#!PCm#W^lc?@@!D)es!^8e>If*CM#ZFojEvhc2>5jIIg&Ic2`yzEs#q%} z`e&)zkI=D++xV$Ng#}od1t9^f8MB;WWUGWlkaW1hNRqGS#<10d!60pGy@0~dF1{DA zWsbsyQYSvQW%$~iL4}1TYnRLTvXgMz-v*x}w}Y$d$DF#a1=>RTeJq$~L(Z<==7~=u z5%R4%B^@-oUpL$D<~Qq9N0k#qLOg$52z2H_a&6SXNZ1(L-8GTw7dFGhSb-ke`Wwod z6qSx8inHWoiD4&~e5;y(PS;O}bik!|U%Ood;dT?xL(s zYR_I3;0LxcD6mEUqwlzvFDWv>c2)rg0f7dN{XZ9w1!)fWLzx&m(0kb0{1cRz96W#{ z2MQvh2l_vtKiQiD9DrD$$)GniG5aS-=4x}E+FGW|bI@lPZB2l01N+n>Sz zx5@oyf&a8s|A7LRj{gPyTTA|l`=>tr2WO7;-#DOlDat@X{Yi!c{*eINehM2nHbDL# DHc%KF diff --git a/Project.runs/impl_1/top_placed.dcp b/Project.runs/impl_1/top_placed.dcp deleted file mode 100644 index 95687ff8fffdf64509b78471f4a7954de4dd0321..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 374097 zcmV()K;OSmO9KQH0000807gKTMg@}SgH-_l08;}100#g70AyouE_iKhm6Kg>f-n?@ zuV>HbY9FIQ;h`u13gQ!jj&!=Y8ID-jh_G*M}4w zQBh)&%{YDpx%u;OAgg;6edYvb6ue!E5(ic|BgKq^T%);@72hzm!17m$?f@%1pvC}B zc=18x#%ICOH_hOzVr6#&lnSRYU^+lD;8h4%Nsk)LShe}(@VnB1WLSk8LMm3!v6v4nz3w?C{B8k zZ@Occ_DkS;zS17Oq}6V8>}&F>nSVI!KNS&A^b)SOLf{P&Z43xx^_Ec zUiJkyT4&m?+Z+D@&ZmYL1EMAAo=j=*2RVQ}!D ztploA&m=_oW~25 zp1ZZn_!|=Sqy1dsoJZH$+}@li5u}u(aUON=PZREULE+Qg9WU3R+xU-odC&cW(`46C z#r0bkcCWuVKQG~pnQSI#jQwMmQy)d(krG3Hjg|?iJp_>`gvJm|L3rCAKa0%GF83-_ z^KryQC9_ARguV*!aQ^JuloBDf;f{~`jStbEI^gBK?fFo!bRbRy{`Q5e#8-PV&83x< z@cKzUb>>Ff>D^^)^Y;EH>&gFk`bd7B(_#e4UHc9t?%%)3U-Wv4@6nH= zlf==cuM7b%wU;uVch<(^-S|bHlMnljcPHB}3dtTg5qQ6Np(ndOM@T+z936>pWxS$! zbU0tVmR_gCt$xXbNqic!x4tamb9<%$^P~I%RBvbVY708%2n)1$;=p0TOb6%4h5;Bl z@i(S)F&g?b^%>nxUOHDnK?UichDNZ}SVw56gavdPR~`$Fp9RPx@?;+%=7aTYtREo$ z_+vs!G?J4)Z{1tUr@H+?zz9TDS~8E|{{dBAT_g({xVY{~?$>9(ds@|eGttGTTgeC+ zDNlg4mF|-(8xFYT?oQ>7GNH!^G@E^{!VJU42Pspe4rB-h6ggPPrd$h$9luX805!RcrXD)1;aTkz5y5e+-SS5 zqb+O8ll!M;+co?Kgf~6bgeuFh2>smEN^rDMNBY~XA$x1OQyq%V4kfXo-8z2oTgKO0h z7M9Y5K3bLN5FSi?H%u74Slg#op)Jhr2%YoNMx>Tn^;zMqaEID$I3 zUarMeqvS3}xe+S2us>a$@?KunS!^X5<=!+1?XFMNM-=@q6q?~V#WOXTRh!mqXEi&@ z(5M+7ME!zUe*Q=pH+*8pNBeQ$ri0nORRMe zFXP|iovK2-fJ5@H7QBD>Wv(7|^J_0%EnF&toLwkG&)nIvk@eW3U{uYskHfWA+Ei-) zNf~&bPQ(k-|&_{Y2+f9xP$|BY&U3}aO(#Rct2X` z2GV!r)XFVVcRiPWWQ0M@tN#4?kgSK&AvD=GPcEAWEmjG68VUl%kpr{)$Y2q5pD#wX zR<18af7uuOev?wX(us)`#dsOcXc9)gG2#+W|HPM&qk} zZw)3NxX%7*uj8poup7Ui`fD#4|VyYjT2%FABKJ^PvK-j0J( zht`gF)dD>p>^7_I+dO^Re8y~w9<%Y3>6sq$BhKss%@vihU-ggtohtpzqcA`BFr)9t z6{a*SB&Qi^()iT+fCL0g{nPhsz-j>-8_rASU8l7flbY=b?ltSWspI&C*fzX3HZk!x%6r)AjRrrmbBLb?C2ou|*`np;Qyu6S6O&zfKr#@LwIR28RcR{T$2tj-y)! zuuaV*LzwTBNG6o>wW`(lHXwY`5zdWFL^M|}eC&$OvrRgaB!35NHZ z`ceHI#kZ}-pSG@l6Iq7)-0VV|tcqvNGFT?6#@}R@<40%CBMbM}w`|Wc4M|p<%qxG- zJ5OykEpk>js+2nOro!Io7z_TET0U#JFiBQwhAkdnK1wP&_+SxlGFQ`LQ*F~ug2&{S z(k5oT$5(J6MF9Xx^(vA96oU*K0%g;I?zA^ih*N8{O7h#=Dp51cA#x1N2(Yis?q32| zm8L?~fOo$}^X6sDD!DWblA2OQn6%4-{MkwJz>!yxjO6D#u(Ww~vemA6yXnhU^*H1F zMJx6z^z-{<7~2Kk`%&i{-zi(X{LtG zi%;80{G(NHC0Ju>0=bjxy-=iHA+s!@Qr$d>EFsihp+)Y{GQUuBSENNyGeqE;-$umrLl``os0T|qX9GKO>cFrrLNe>1jq`wwu_tJAmrG{ZDXhlFJn4sAlR7|#5!3Ph?q!UC_aL76Xw?qH;IL-7~|cH zWyndKwQxX1T!NVmmM4pJ3AM*Za8aC2hLNx9%@~k`P2hhPXg^BzZqbae;vb1SEgRQaMxx zMxh8wB9WUhI(7&M=(hDtTX8`AjDRB0?kR`q${{A_Y*9+}fk`t*Z>tza!AT5n3q+zJ z|9l^^C2)w>+Ha7oglb&SNw^F>2!X2jQ7Y2=WNiO9hwUKZpTvR%Qn5eh4;(4DR|k)B zVS>qI|L6=sLfE?VV3-u(XZZvHt)Nnh?Sq1t-m#AhHK_7HC;_z+GVqsLn?b<>2Mqln z67)Iw4VI(5x+qqpDIo$Ll#COy5423-F`c(8BZ$n`apYiEL-_QBL$?%Gpf*cFm8Rd= z0Zw@B;xd78Ii+jk(asv4Sz)VH;IaalIIAf}jUJZ_-D^+iz5_65o$1gdPlDbANj`ME zUYyRnMvw2JI8;TW)T<;c00Cd zq^}9z`5?|IG`u48@F6YLy)E?cVkH}&Kr{_<@B84Ti1jl4xjxKN#p*#0hTKqy_H0b--7idvFoh<+6 zvp*Uv*?s1`q>l+V8WiG9ScXxRU5gc=Tq4~jGFuZKpWL9$%hycuv+E6ST-u#J4W>Z* z)*q`5Q0BW7rh&yd=vjcm8g9j#IekQG?iC6PKhQeYa*fW;Ey!44QN=_f`pCO6c~(b9pkPk}A=GKWgi02GR=6rG>&8UKzazMn5NTPU94l5+pvs=7yP%)Fg2C2qQ zXRqE0XT|aPxcTMJ_ZL?UFsevco}xVI)KiSJJh=e@?OC`!P9yS%qw>EwfYR%O(Zf}w zdb{;*dEA(ztfy}uib`_)(xA`_xWl=ua0k!&N%k^Y>l}(A6CpI9Ytr-VpqEDLRg*e0 z7!DwoGYw_?p%}4T8HQAvm*5K573U1+Wpl6)k^x23T%1K#-5Iff&A{l;g<|D#ttXv5 zSlrE`ZdM@tng2m;S5{VMx)B*yR0f=9F_q(+x%&gMy{cn0)zhw~;c+DQ5ox`L6P4~z zgd(qkqZ`iolMj#~alnws_y+O#YjT!(X3vR%NGpP|9Zg!<-ReX0jaW`baGa$J?LBOydZjVWOB~a4;*AJNu~Qu=L##c^MMxJtKfPC}%gX8u5DG&N6w2#JZ2XHP zlp9NKV?@&>-$5=xGIp*O<_+6c5=&ccf$D7o+8DAUK*uzle>nVNq2{%LT`w_Eap#=X zmWx&$j(946#i&+4cd*{A)5y9gmTsOp=;c5P$XVG(OFo*-Tg7QHZ5rmO>j;ZShX9fo zlO-i8OPF6ICPh>r)-S9~E2Y~lRUf#YL7PMaeGJLbK3W|=5e}OkIo#WMU!;RmF4ZFl zjSa!zpw?$55`hm-K|)l33s1p9RQP5z#QAT=L!5^XS0yD<{+=TuG{H`-zZjzW7>Tsc z_Q{&X?Oio>)$X6JlR}onlb@yd6yXL~b$B)SsV_)XGDFG8tW(~vYD!DX%=34#OAadGd9%TI>MtZ`U*em2)9+2Y*Dq{hn=)1!!*5de*ATfWoWh<5>x|2 zalugRQ;I(4yVQJ6k)KqU{Y;9q%C)~yh<_<P(&PuVbHDOv1)Tl;!ae#;ug1c?9}kCcJ*b;qKoQI1gr#~^Bhvq=3n(C-v6HFqeiTmS!BInMtMazbqYq< zwNS#*V~(xMvT@^>1?8Na76psD+*6>ch%n8pt=$I(t2G#`OrKg3YPff{V0dG8c|g)~ zC}SgZdD&BUbHAq&j44S@Ws$4ELMcxIDev-CLLV#>tUcqXQD&`C7OGXwQKjOj!NOLBm8$?pDUSuQ zZ~~z+6f!bcbAXt)Wlu?4?^Vo%E4s3$PYm{_=!7f!%Z~BoL=SiH16+$8A`cr*2rQ!$ z%E@`-vm2vg3|yHs|G7-Rg9oo74y2+DNO@4&HQYzZ!Do@R$Gk;gg1fU=1_;%0Zz|Q* zty>H1uWFE`I^605?5blfw=#pS6Zr~REUN7d=u7+wluPI30?Fx##3#wu!L>?QrjMn+ zzuWudUIv~=B3MV8w{K>K+75uS7e8q{U?+Oa$cBNlX2%!iUA#S&a-_t!5@w|iH;@<4 zHQ!LsZG-o zPEP6iO?8#QqHuA(R*SAFZhVx+#br2#|8Y3rR#`9r>muLWG&(k(Y4G%DLtoDld*G zCmJp(3-SMI|$4W;tCF{PkUpK5}re#l_N?& zU4jVXWroMST*p;6Tsdc8j5M!uiVP?(NkU==jFB^7wGEg8lO6%r7n&aCQ*Mcx?c}d8 zEOnkpi3X{Rlz1cojRULQD?%-#J0)D3ph*b7dW)^AsjB{`GfM_G2A8!>t##{lF(l9I zYJ~Ti+N~8fZNEX_`Y0X?0t?|NrWAo4Qk?YKMms}6c^qi}kLN?-1hFtnWuveOE@+!U)~Y&x zZ`>a~B$>klg-ZE%|Lh*`GONSDnan<%xiez~6XD=Q@P&{2@9x}m!^fLBDirT6lwuXs ze0 z%30&p+u4Dn3rDJsFlg*9PEJz$UXaGzW0Q}x%7Sxrn+JAmRSCjP3_(Bz0DHE<$Aj6eDGO<>u)?>68?{-9&t08HqU*iT5 zx~&pfNl+=83EgX9!9SE8SO+?@iMC=lgZY-@AYEI7IsG(_@y@djIyo1wJeCf!YCdp9 zzjW2Smv^}qOZl_o;h=bkS_1VeLZfz+;3CoEG}n{jwo)~>jR{=>+xUl9-jx=jI+mAM zXIFcg7T<=@sCxFW#m75V{lc`-T%woPY}bs*!DM9F$t87Ik?iV|Rz3KrTzO7GU3Qh> z@&p5kgDs@e4aO8l=DqU~Xex)cVU?2_*~#BPflTD5vy48*W(MxwPGU9pCtKn^;0!YdY~Y6SykIHsreM!- zHRa0#LYGIh2wH0VvRxW<@y8kE*RKmA-Eb@CImu?>KDtt@~h z!4X=?IyXd)5_UZ`jztQKT zulJ*|=137uU+-3SRvMgiEO)QNv4lMspAtA~4EN5>cRm>_<6NeKt)bO$sNOfuLn>%@ zEZ5;Mrq!?F1P0ANj`~c{v;3s%kaSyrnGa(ds?7#$vpMccL;Sh&V(K4|yQ@6WRq^@! z#EUnS%a*b?&`Nk{Bj>t^Kh4)=tqjE1WjKI?5{bJ~10xNa50xj)Q;+r{NhKHmCE+ZJ zl8=0t6k^UpA!nF}l1jdaD|bnE2kT9N5`xd-?h;`fez+6;Y~meoEi)a}A3y&*94Z`s z!s_Kr(5kvT|KNS<4OgB2_SQRpxu}1~Lz}2gn-o2})4X55e?Om}*t0uN@~TFjq**jL z6-Bp|?bV^0o_Ln-+1VBa-ko3z2Ul%eUw(MRGV_2t(|o$H6zS>8lq$R&KHBd{|LS-f69Ph1LU5YzSiLG_~NsGm;#faFNA8tR@ZIGulWy z{Bd`YY#ja@T+!u>u8r^M_RW@tYTJEOCrBelXf*>Ko7#vXTY1}hW|?7DmzT&vN%r0zmr0eA((duZeq;4IMzM6kSm4Mpvj{5S>chp!$P+ji9d8176M14wU zso$DP^@dKM!uXaAcsfOgg}Z^WUD@G8(@#6x73_xgxt4Zpx6c zF7WQm_DI#5Ofp;9n0kJD6n=PL?d zh=F_6@d~$n|nf^`3D#+yRFpLGU8J!;=exp;HSMO~@as;n~ zzWWh9xW8yP=L-!P zXFXZ5d^*{C+UrZO`{iB36`%de{k++8di=2LF@+!iURbr)0L-NVY-^Tb(7ID`{@fgY z3~(C*0+v#Ny-W6cdb~)1sD@LtdfJ!Lu?I^7!H=+y!M;-jZgHd+D^NS%#~ejBQodRa zz#>s2Qn*}_gN3R{Jlr9*Py&A;rp?EB?_@eH_E1L;0j9DbHj%OBsEPlFv5_x9xJLgZe|RCm zFUv8INf+SM+W_YMpB5sw2~_YCVqOMYP?cPS^Bo^;l(2pElo6mz`acs63fu?i`9-L? z>J2Li?$C+!rOhGz<&gwHBvce?^(DkHWQ6v^Y|;IJ#MyxK;8&F8eX9&J^5i990dR zAq(cRl*ZA);^2Y06F^B2M;X(B#)MQtNl-^gu%pDklZpmfB&-Yu+5rX0J@41kFku2j z9ID@T*B1Q?L%~n8P zpmw@(3VRG_sZ#e%30itS#z+(+7i6rPz?Kk}0jAEn3ucAdYLikG#;WCJ6qwqyU!rnQ zilnf2ULB?xPFgFB)(>93KF4h?nq{+G;Rlt_%!OE;^$3e?kP`nGofYB61>XkyNZSbD zA49w%{4O7B)6+xu^sztfZ%_K@`|YQ>D^ohRz#b^o;N(77Jz1sp1f6C9#k;}Y$1+k~ z@9C}CDTSQ##QmE7LW`Z@fkim+!BA|h`(?gonCkvVgzCQM_eFjbD)SCnjN@Sd8eC z?MXfwq4l;qUiN||uQD&E_~7Xdl|Kk zph%Cf@FDb&YbbUs0|KrYrPRYwo!6%<+kq_r*VoV=e3!3YLR1+pNfbkNy$~bR{q?@% zPq7Bs069Y1aZJRnAR}Q*aYK3^Cqtlr&|aoO$i% z%CcDla((r@DVe2C@$Bz|uHOcKdf%J~AF(F6BFdIkec>u(wvMbhg?#9kZ;)&A5sipM zfRQkW%+iN^b3unxxkLZj8uTOA%nMnlCNQ8a1_fS1gD&`4~4XQqCoUyDWKU(6t|Yi<#P@>PvF zo{k++ItpyWn4dDi#j2Jkb%YWxyo_5>ASJd$E(XF-+2w94H3oxx4jyk%+AXiw&!KoL zqhTV4vJ>EXB>HZhyOc;fn7Ek9GX|r(@Th6L&)>Z2Ta^mpiVkO($vIPh)RQym+m{5= zX$;|J42Pn{9`omfzdpk`jc}5^64yPo!P!TSvk?JzFWKCn)yfL7DdrFhBqenE*T7O~ zyCvBka)KHzgD*BKdUlRfTqwte&*|R=CH+VJ*h)p~34O}uvwd@yE> z7WypJs?sv_K@IAusx*|!{`HaaO=}3QyfHXp$Z&>%Ah!AnV_g(PR*-QZdVRiSwm+tF z*GAX5Ce_4ZaBPv`HlQG`jDo2!;j>Z(LV%3>d{p#$+AnMwu6pY;Fc@|oD9=;CJH+>D zRZ=D-{2TH;lqX!VQ+_o-Nl=*ijl(r#^SFp)vL4xImp2jtZ$Bv_E|F%kY5wfUmFX>!$$ z4fcuS(mku!^Y`TWY9{gXy2Gink4I{wxohh{!aYs>M7 zt)$c!FE|itWr8T_W!&+lMeqn?u)n-wWFqaQv)0Qzvbyw|DGRkrDl_I?t^mU+$<+I{ ztKNtd1^Oe%>ZS#cxWJM0?7JY0dz`lp@t#j_vK@aE5B}^7LO8VY88hwTNVkNDxDJZ^ z14_OEZ>H8vYV|pB<*~HmxD3(f-yH=ZUvFh~NKjWVw1i|E+-)CO2QjM^&XRw$C7s!s zJ0yT-2Ht9?^$}K=dITP)$|b{%+JeY|i1i*~Y4Nc+yy6wl@R6>tZh zIK$=rF8HFiXMdN6cc-g$AKXLj+9hwF%O!tOT0^<*6`f^yw4o>AO4X*}x|`r853eT}d1pc_1`KhZ8yi)a(op+T5NK^91H6IW_(r3jL`Nmn=X90=eO$Q_)>Br(1 z){gm4+cz1XV$z;<7ND%lU$S0gxy;~6I=}g0e8zCvc5JTRi@#o4AZV+Vwt`GtC4uDpU z+=&d?C7|325J2j`csN707zB_B2kA8N(uT@1NVAAAN!c%ll;%_(Erq{)M;ij*|&P{F5OJ zp&0UKSWE#VMOcg^;vG2v6ccI}rHjH*VWlWWdUuU%q`^smW*mNV;zCgE;yFJ*s*6j(ALAohn~txKo*@G0eUX}ruqCfWMl*E*a(}kJ(b#CFEG+Wut6oa? z=szFCu+Y1#ZD#)KASUSg9wop=f740nzF@-)$4yzan&x)y*sty4>bCSe?rAPBue7P+{OYaS{*zs2=0{%lx_#Vee3Sd$ z{Y|ltqpQ=meGg{o;(VLZ?4o@X&dfhsuNLRWmH5&4q7K(CoNhSW5go&d1&jGEqJ_&g z?{sI6`4{hB?pS&4A;V4 zp87KXdAF2(@t(V*>j8DknjsvSHfoE7T@pqZvRT^T_}5tZD?Rp5sebW>^<7Z4tC4u>f_sK2~C9Fycjo;;aYturHi~yz?8BlYH=)8GM@&?IUJS7-$8zmLp9!bE zto8PM>cacVo&w*I{}|17LpV*WvsUr{zB6TLO~xM;Jif?GM>U3bNrE;Agx-I1UC zRy=z0^mZ|Qdvl%l=DpBz`*4@C$|v8=L78y2wCfcjEfBa9ToY9e?tJlCr$2V)MvrrZ z)||bm`$tb9tofuq+FrxyOEc7Z863U1A+vA$i^9({Tfd0XGY0o-*mS#Couw^VkJbDj zzV5yFu%g1_D!V%{@U8mO^s6}J**olNOGDXCvHc;6_A&nf+e}^lqEnva;tQqaT46)_ z6PVj}-wlIrdJa7ihB{Zx#fv!k@^{x@Q1M*R#CWcxBBCjK1}zf8<9wthO5PXvc#UDz zG4A8mfog+z(sfqdiluS`%ZJt^X421sHd6rLNt!y&nniHLcqIPTvP*1X5jxxLEA}sh z$5Ry9{`#rzYngTje$@Q&>0iAHq!_i~gB4U6iQBZGihUZ(aM+AZz=F(yP%%JgVTs+^lc6PV{KmSmNYKN>E@5%MX4)% zyL#Vq(xhf*nV~;WaHZ?%#s0zmf1$)bxc?8<5-Y@^)u1;4abrr@F;#h-b#kh*`w@*z#BfmgN0$bqrLRJDCflWyNe zSG&UjV=7XoqzeOw=p?g5i~eY(w8go?W#vkyv1j@S>IH2}e&3c+j&K$4lMJ4V*dqacR<9C5aM7?0GFJHI}m+>2X@`TGiaKC}~`!<_D)eF?$i-n6C)+Umx z%wo?3!lleEhh@wv$;8a8$fV3@$Rx}zfBiPAEK0{(lY*NM+R@S}wHB}e0NVfttN{Vm z03mAts5M}~3J_og5V8V*S^)+u0Rff(fF%Ib5-?x^2(SPMSpYyS00ZWL0CRwlIRMlg zFklAoGXn^j0YJ?FeWn1v@86&)0N51JX9Dmu0SFu;1;g%^@;djnAWduS%|dsWb~gYR zc0kto`Pp{Zx}3yzwU#$fDTe$KdM}bXlAZylrPxlT&FznC8-?Y`-Pj!}?%q{d1qF^v z;Ypz`PHq_KNeny#BpvHGbbSI{D6CAW-B+kFh-5V0S|T?%vifi6>@_>r z=+LgRFC1rWoioa!(puUcXV$$iuF}+Ml0l-qC)ut0oQef9=y%Mob}F^8K5eA_c!ahx z*TYR%9y;36rLiek|COubx`pwh?ztnX>B_VTWKlq2vC_iQ(>tc3Zy{1Zd3t?enetb4 z)uWY&`7yR%!U&W;5miWN1;MX6zm)$hNdJE;|0BfyN67z|`0h#gZ?QvCXR#(hS$uz> zKe@6tNJPMZ77_tQWZ*lZO2GJk;=q4&{~MA1jbi`8@3{>Bg?eNW!i)xX>9tPT95aGQ z2C*ZmB#daG5yC|OCyM_^_kWP#-#G9Oe$PevH~#5UC16svW>U7VlCGVVM>3-bZAcJO zmms1cjZKOZlN2u~B$@k{y%8^TB~J8A66+o}!Xti=MPe_5)JpbmyO$(ZH*Nqze9xcc zR^TcCzTjizG5A;MH0dQR8>tFi(`Jh1U?m&bGJfBJJy)yRP^T8K1%9FNavjE!WS7NZ zk1XwZQ&0GqL6SW+m1vN?K~#|nUTwm7o&Bh+wtJ_koa>1ERdV7R%;8Y2ST(mU1^n0; zNRa)$ONq)=eL}F&A)#QaozxOlGWDUHn(KhN_<{b>Icx?KH}&;or+b<-CS7*OEaf^H_iNar+cyZigfF z%r<*53$^(9uw!2|svKcBQyOT0^;_;K{lNN>-3lWod8({nwF+G{j+92~So6Vq@^I8K zqTsqDC-q7fJi}UGwFCa8I@OA7#oGZDjBuPGb>)i3guT#e0yK`0M(T8n!Fx!mJK>`_ zEC))A@(Clu;=IpGL%&<+x^T2){6^Z$F(~UJ@ISjl4@dRkXy^pYb_(zOW{1M|FePpY@kC{EF(K2#T@VLpZ=HDO+V zVsi<9)RpNlJ}lc@B|HpEeRwH#PtWLd4=T*zGdw~leESw%_+YS;Sl7`gKK#%`^9LX5$+e50%#DMfjTQhg+(Bp^MUxu^ zNgmDdWwb5XFwFm_$7sd}likp|PD}Bw$B4;v2L^|eZIzkQb>|L~*#{ghH{B99k=qs% z7E25ycutx%Mgp%TLj<-sw*U0kx)>?E=I{KV`H@}6_{oAeh$jy7qO^+I+cw(*ydpQDuc$VD#A% z0cLxIO~d|DQ(sBb1q3XP0PDI-MfJb~^_d@796r`{FN$eBcFTk;9!WU7Y^#2hwUHJA z{wAZn4)KvCd4WrgUYJuN$c4D7 zUkU@?>CbgBJDyar|8nJeC!W@p5dIw^{gvrd70-)~{{3w;-Lp*m?B(-n_Wtg+u-sRP z+~esXgMw3mm%+R227X?JH>wJ31qx=!hYB=~ZigQTjH;~*Mx}l=Ju;}E+H*|>C0nOW z7W8n*%UHMREFdc-3@K!3>4?8^JRWZGUF%p;GJ1K_c^KfPg8O2$4J!S0a}Pg#?8|bi zvvd`4FUIF1^>DxWRBmvF*byZ%wSjNC^mTZ$UB}}zk+EKnpIW_dzI>Tlg>Je8`;N_* zfm5sDO_##ovH5avYW3WD{k%>8`k!4mx1<`Hn%XDzxLR6)Q4d?l^>wf5&Hdo1(rfjF z(DB!*&_q_()col4%e^jlVnE+5F<%@FGz3&2NF)@*OW=NYH@x>}UoT*H2MGCqj$2;4)n)^cmbR#l@v7HLb>A+2WcU$AJbc z(?!UhiU<9%Twzqch!W0?=Qwrv@oFNWDEv(w(-?mA0qC zRG7qtkh3bhWswN=?&gS9q3<1xTEk_?lzBWbz3f)*f&W4!^}g+Yh9mp)Fj;;4dGXNkrrwEGUTrDEF5KkD zqt};3uW=`oE4j{*=f0UsMt<>=vm&KNPiCb*>?itD0QNoTPx6BH=uq6*H~P+PB+uqg zjlyO4hccOJ{FB9`D!=*pwyVz!^v%MW!*c%M`oiDSNodajv*qlno|sCPV<5jSe65Vc zDaVQw2Cf=cw5VlQkR35EJqFG8)1hNnQd@}BkFx~m_4W#CTg8HplKMH!Q= z%Ap=N5W}a`Kr|)cjxPNPYjLA-Mu$du0>u@fUa5h&&>QrFcGtI9wLA3vuMel<24y13 zS#cq@dm+X(8dY5I@cmTv;jJh;Qd9DAaXI6y zAa=sfzj+om?_QX~Q*o`?IyZdxADRhp-TC}<*VS0PRV=54nrdIx|5MAaCo`ir;0Np8 zgQra0QbX31h|2|k5waD0`q4GlZtLtAoQSK%&efJ_I9z7wdGlnCg7+ zUw6o-UDRT$bpKD^fW~U=eNWVhXUf(!{D=Lg-qY>#VMEGR@xb&~7o~Rbfk0_zt8gq| zcdm!%7>EFF&%pNYf3|MN>jAiGt&Gc!)BH~9C^gs z8p_Z!`uO#sexm1uJsm?iuW@5?iszVTz{RqeyIRrXd3qI^E}V0!;04xGD9S;u9S}zW-ZSr z{o9RmV}c1fvO^!J%m*Ia7hZsOxRA{WvNj~CSGZ!ZIZ&|qMlRN$l0MurP@I2;Z7}6V zF4)x!peHxbM-cj(=X1BK(mmhqEdRDRf3!5;A6}Zs9OG6qMlYuhr%&ut+`FW^c8qgx znc(RdWYjDC?~Xg1{5S(ey9f-{jKaeTY7;$<^D#B$sWN*smWHCxW~o~9 zJDqdmuc~uJ)J{c)+z!pJu3j=U`0T|(3Eoh^4z<(?b=bjt2G$7fg34?R4qF;N+x`t$(+$``yUR)>L}y>$Z#})@97$R{ zHd+ieP7Z4rK5PDMMB}SkNoQ_r=3hxz?Zz-D&bKYMy)6u*c7pFM3>fc!$1thQVbL1F z!?Eup>_Co6DKXd*aM)PU*i0&zQ`J#x=jP)@xeR{#(Z>>3R1iuPLWVo-327iku%_c! zMd4dTp;`r0Hpfxs{iMqKIUX8drZJL{BT0&7wTrYt3Xy&ck!}KpO-_r(^hdRUyi|j> zuk6S7_+1ltUm^q76o+rQh++Yc;G8VB;)$jAj1`cWq1*afb#Z7cpAy5$$h^TUv?yUH zZ%P--sK&$mpK&&+3uT6(moMn~GJde-7i%hxDP;+>6vwEFSjn{TGF? z%EMLVkf|->-ay`;`006ylm!D=@|lycn9<6xs7(He^P-a!d4lZ_wDId8?^pcvrA14! zSmpYVRGBQwoEB1OEJ?5|OJVwh>NR)2ui$RdL3$N4+|r9`-Eb>XSdw~Klv$CIKv|Ss zYxQXw`cD~K*<^1Gq&J=lYRNfYtqTZ_~@V z?)tk{jn(I`6;^L}%k*xrrpaxf^-;&w>(ZxM+CB&9FU48aKMYH{`~)0E^vLm z?q9QwXMlMz%eI1ZE)&>A&IhHMFI7dPJz^?Owjq|O8Hr4`^;WALI!vyq%hx|aE>uV> zu$&X`r7@i-*Qa5#oG;}Xvs{`l(b!F5?v!(Yc(9oGR3E|IEM@?8r7`uWI5h1qWLTlH zxGzNUm`$!P4PCG+IA;)YO-10E3`8;M4P(~qPb}LFony>9MeK3_H9*S04q4(F zG(<6|4P#UoOe``Co!u3mU0D;#+px8%SB<1%{H8v_(q>t{8EXwvnP%zmtJ+m^gsm>H zaADEvr#i#ZR{Acr1t~4Fbo70foMEdQELFb%A!CwlO2* z?G9V7N}JE~{GKjQ&x;Z>tX;*-F3tVb{Qf~{>oi)M!6f70lk$>sd~h+nB`vg^y@eB5 z#h}F)d70jh1!CE74+|!l)h;yDg1sdnR1%|VbD&X5b8lx&N;7=7OFE{aAu*VceuO z@$)do*LYd$`N?>H12%t2=C4%a=%?)WrPw(7q3SeK7<4%o>AvXR&D-HwsF2}4+6H8; zcG;V>h-;}f`JJ#W)hfRerlnfscS5&Rv-(b`mTH%sNsF5nYLnk--Galalr^7fu;c3S z#;JOL*|R$^(PcM!B=G15*mK#If92PnUgIZK3$YKHJ1Bt(9}T4CevdNv3V)9>(#oK3 zCJenm&u^raVc$-enm`{OxOc<;zX&y6Kk$%C2WnXdf52IpL-_WVgeRSY-7>LtGafB1rN7VdgEv>Rh&LVO)YsaCd^cySrO(hv4q+PH>lC z!QI{6Ex5b8!?&_`pFU@I@7uR;|LN~}M!hx1m}AZ=7Az@Ptgk}m5>h2~(WP}s3g&Rd zbxF$RC~D@!c{327%!!L<$SP(;MGFdiqe$rc{Rk72K*%KYuz#0*`Xvd{%1)m@1EKg& zA6)*7sA2(S#SBT_3|J7eLfXdb6*j;&@+l{bU-$(*WqhP7fw^}k*oP4S;Tn*146#R6@ug3L%sE{goj ze96`(NiIx48Eae@>K|n(Bz{(ZZiEW@bh|57l1sec-+j&iDv@|^mw3&*>^#kL#*L9UEcTXC_m?ej+4f+@DbGnpq;jh_H9@poG z^6GrU`SR_O-V=~8I}COe0grj8~G`!$_?NRO(xkX~W>?Z>^2Rs@8 zm70pG$=Lu$26saN5sQoFcb(FwfOk>n6D#UjV~hdo!J{CPgYJiPa|7*HTS!Bd;XqIU zS0T3!1a<{C15ukb8+|I>;)csNhoeb^owI!gsY&+BmxuVq?gX3~L4Zl}-69-h9@Vd3 zIc!mp(YMzPQ+yN+84mO3Rt9Xo5oA~}poSM>raDAe2vCC#THF*UoQLXhULeZ?#R>CTNdBZy*`SPlv$VklQL4 zSt#9kK)Cw0cm~8sb&S5M{wIY5tQA?me6!Rgc&78rChb5Ch1?B{?*a)p8?t`IW~poN zP%?0n`-=f{%FE&Oi4vI^1HsM5gBa`_hrt|%#m~jt6?;b0#=snf#m}RFPFLUc1>N=y zABPQIW45 zyn9&ksCo5#YG*7vP=3y8mAS{;%=M#Y}M|R!GG02 zL3{4g8E3g%XQ)U*o@F&cB5pv%yPdI>iW$y$M55t|yShGi)AF1UiAgnU|46OjWgb%> z0$KYl3ukWrbh|}THs0ux_l4)pmJ@>v(q~QDWPZL1f1Wu3R(7KZe}STWEm49lE@1f7 z+MA)ZY`I9c6d!+dln&iVLoIu>4F}%EZ{UdRxZ>GUdwk!;_-b%vCob9eWzt^JgwPf` zz_i`gAOHm;qh}Kq0=?bV@8EL^!Ov~XJ< z!4(KsZ13jVb^-mBVu~jPwJoOf%l1~klzTtbTfY?7m13x?1XjKd$Y>@=p$_ycLQpp* z?1cX1`_LXjrOtAx&Q_()LUFR+oRt6E$yz`(Z`}bdh?FKO!~uPiZ?_+1tJTQ$L-zmldX+c&XtMZdru44btnJ(2iuGCp7R`Z`5^#fIh#_oa$&+ZxkG(1nN zva1Y?0yO;XTPoGru3RruvVl;nv{kOOxR!qS70~r6q;Cr!0E2;((xQJn?mnOXT=HUar> zcEAS3*_Qh5+!}4>vuLAYisn*-=F5IoL*nkP>i!wYdycHZx@?OTl#EHqC+e)H-4E~| zqpuMm9c-Xcn3muaI2M6SE3`{A<)pa_$oLk}eP0_Il7haDdX}vbs-s(6n7MJheO+Ie zXIuUhUgtl9;C>_tNxNHsf2Fdte`NXAm?_IAn`XC4VOh6{*70CybIVylRZUv{lF>Zx@hN`*e3?%f|;7xi4*br$ZBC7-<6f~Ns zf3NtI2MI+W7y@y(9?35rWLQDL!0$Qq$gg$9G&%! zeI}VYdkighvq&)W$S+Y(vN9<+BKmB3e$hYZ*tp!}FHTp)HP^j0w= zxkBs?=+AKmGJBg~FkFClX!TaXBU=OQlIhQp2ef;dOzC%+D#I7}D)4w`b*3^3I-1Lm zpJH~`O5?fLm#t-=FLoQVWRkhatVD#|;5VvVUmxxs zTE2QdZ3o!IAHQ6ap7oxSl8YTw4%ken?K_^$Wz*7@?k+*p=MxNf&*xXr9>k|>D7NwV zyxn^qnsP}{7PUwPuUN?kvSJ8ghNq%#DY~cMJmNnbu#TPzUg160PQMR^&Rl$|5lDu|uc=IJW-TdzAqV+?% z;=R@U{A2kceBCpfqhpV=Qmad`#OZ9mA#dE7`+R$+m zt+mwsy1XPAte!mPD~TqKZW}L`G{o73^|*l{h*!eQh7TTQ?HgP7!$#mQ9~Ao!8KV~) zq75IEyf?P$C%g)tdOsbPw1m5+n|ZWshOOb8r}=VQ3s}9FxY_VAgK760m*(~JE0-9v zqtHQZB4|M!GN`ar0wWXu*fdJPZ-rpFQ9RM@3sT^;HfiXS`!37d-1XK(Zf@5G)gw9D z$PA_Xv%_ni)r`43Q*SPuPwKjJe9H&A6=Zu>Jk42S=}2#Dwm-#Io-@wC#|`*C3D!FM z&`H6VhWH?-brSQOojQ*^8hLh1AdkCwzr@{pVxk02cVo)*4;*7dPUMqJ+ZB?E?0T-= z1ofsSQnz^Tlg32XQiwQa7*po$ta=XiYuqNAisA<{#`Q=xfOWYM-DT9%`@EFzbkPB-*fGc=gE(Ocsk#Jg zecxU1YEt2DcEYz#l(Y5{Z)CiVhkL_IQh$)eIqAvJ@qL_=k&4GKXH8nW5w1qRPEB;F zjuN3%Qti-jy#cOTyK31^$zr&Z*4@`?K!$3;Zr-Awv%_e~P!zh6|$xDsOi z?7dhDx!ZDPv&=KpY3E6{%@%)ONj?}1861~;=C*n-4EYF!IkZNf3f1azZuJ$}1*u*E zC&EJ?%EXJkV%Mvi1br(=kEw57R4pk*i5t>AD)rl|kYpUW5LicGyV3$csGI-}R0`GHF& zBU#s*cCl8)V0Zq`T=s$Pq4bQ^zI?@wDlX{9j5;Lf$BjxM=r=f2h( z{BtmwibXj~$!bePeU*v%OOOcWp+dib3A2Fwzyel`@+~HFP?fP(kvTR94g(#|EXU9& zCm^5_tx%ncB`UKjIZ|V&^P=qaT?cira_OVbdDzri$)kfk zG~W=+c<9$W;ukU`u#!JKX=|08N1xM(Q@7^aOLy4;?_q1dHw|wi3rjP z0g^?MYYJj5uqnPq5#*`-fvj5LNYK%D`IM{U`_6hB$(1#v1Dk>SE zh25zHb&n83Zx;gJywmtC*4ONI64?ji@Y2v49CTw<(&L>zGShL! zt0J)aq~!Y%1-y{4umpr=#DAfz>tJAt;u;Ix9*;R5mMMcMKz6Ic&qnUdDgco>H$emb z3lbVi#tjp;pJd?gj3rda2Ph8~Th!kkyG-cmU6<=KwQaNp;esz-sK9h3TzX?t9avqg z13&~}*v%AfiJ;&!)G1dNBy+Le-qzO4$_+)Bc4I4%2^3)Cy(d#O;%;D@-5y_UA8zJ# zJ3U;S-mcy|vo|(A99^D+Jueo=%?mI=M4wHjdttUHC4% z+d4X5JYS>sj-RtTJ=;28F5|K*{0qug+<&H9&M3*RB2$p)^VCIs8Wjg^X0a6)S_(~; zVLOA?YXpLZCeUH4a3T>{MP?@Pv*5B8$CS9d7{H3Ned2~CQEm)Gi<1v)Bn$Z-xSv!N zNWx4rIJsxbMQaUh@r4?eq|6#RL`xmRjNO%GZcgVg#Pq?sj1(#PSk=R)gNt2FvcJF& z%*C=IBU}m;`utFf^m8%<^6GT+w{LXtBu#k^<;6|Rv6~;;;JToj)-*`T(LN7gk9_e`$4ndm%ea+{7r`GJ!BxZ$1?Hx3m0&84a-?e06K|jQ-k(4CyzG#zI6vRrG@MG< zhMXnFBfH~I&g0gp4NDxV#FugzRHiA}9#Yzhoz#;h=b~e(x|Hc?DhkH1mYwtSM9OS9 zPd*3TJ%*L#S$wfEdOq6t__0O4ec|(XlPzi*Q2{m@%NmY(J;2a=?v!Nw3w%9EKUrKO zc#SyE_*c1QNH9xNq-iUSp`@Ksbh_lK@=hU(HP$aU!D^AWdF#;>#nptJLS(l2CiAFt zS-KM9OOHsA=5zpLCC;L1vuOpMb3p0={O-yR*6m4B8oJl&8T9npWcY!KlSWf5PRv31wxShO_Bqto;qFkEX79?I|L^q?=Fe+~N{7 z7tnX)^$qH~MAp%BJ$yxLe?Lw4g7KQ2Pn3Ia>JhV-v3dG8^p7;7|?dRHB{D2ffj&{%sEeOF`((*!ZL2nl(d5d_Sw>2ZT=>`5vF zt0de-C`z{GP;x(eQ8&lh6!n4J*H|RHHEJ@{>$dADlcnCcSjY4RS4E1VI`XALM$#8#6Y#=*-h(}{d()lXJtnab_Jfe)Nd zGFzIeTm|(mkU%)wl;l}ATqbsB&ZPlXUz>MzJsQ*9Dgvy(wtzTG-wmIqq%mfH%OFtTroz~K7Hl#U zwV#VXJv(DOzINIvI~`>sT)*jDA3-7#Yb6QixEv;{_q~=B@N@#9>HacF5f2n=@ZNIlzp*^+Ug~ksPt8R^d;5DgTVGzl_ zMs2=P9^NmG8&@JtFB*VviCP6LYi+&KEnG%YRCeTDRcDu=}$e0<}^*{nU zI<_Kd^Wto%Gar#ZNJn2VTt8KdLKL-;WDlnmIJhw!EEl%zW)0yy+Pg7?RQ?fXNCIN= zz(4g&J2!?w^*{9sTQ>$%nm=_xTes>1;QiIztYPc;j}bVLk#Z(6?qum*id2%Xj1oVL z;)I7ursE`#N#hA5$$%52K`2texs8(ZWg`JUns4H=c*llsr+BARhSON-2RvvU+)7#Q)!t-7 zZWxy4{ntk0N++wpIQgwdccT!Lk9Nz^jnRfzCzDZK(}q_Xz0nPjhSxofQQfTi*G#3+ z4UYO(6`4`pdFHM=Q5apDSFFLJ+2E`hi0s+mi2^t;Y3yTbNuHvHw37$BM|zuD9Nsa& zY6ydkXL8TOJn=hRk6zwwXTr2}!=~4)pW)h@xZJgL?B#aPPcYr>08b&mZ^5;%Ot;(T z7ItpKJl|VejSu_RzHVQ~c@Vh-3{OS0w)XCkJ_w)V#pI&<1O!dWoTt88p%o=e+zg8G zfIcX(7NuHN`2GVq|3K{j2q}Lc)>8JnT7E6+j*3waL~|nF9lowE=F+~W&u(|1I(=Ws zKzo?~8PU97*F-0-UB_J1?EV2A;_l+S^Wbfk61}jvpWo%E8z^818ts=M{ zIqbk}R&mNjfDs#OU6X1E@E?0j~s*zG!jCi?)}J&3IlI7MqV@#Zyx$W{RimjL>6 z2o8ScA>beSt{%OY_euL_yll;Er+lB!5ZI-*9UX(^UYMdx1+#vjTqmT&7WD;ki;(Y9 zG7!pzLO*>=olwZm zDP;W2WB)2<_aoXs7`MQeQxe!I0pyqhe9GlF<{dtj9H1&sQigU=0g&IJEbdht`>aTK ztNk1G6@uTigXUU3n>$&zMEMkueDe^eVVuarl;CS|nzLoFdXGgrUX(6 zA&rA^5-{!MO~iL_g|IF#W?Tnj^Z10K-(fkPnRX8+f0M7!m@|??v8sZ>U9f)vvlwGO zM;zh!ci3*Gzrg&#NW7I?Xqg8SBm?7LG1D~?3!xg!T*U~M%kZzrCru`#X@)f)Hikkq z0s!_Okj`c>e2&DNH66p48J3?Z#+)gh6fc?>lSKx?`FlY99{~3MGeG=-V;@ok;E=$7 z01P}N4mjivlf8^-vkIt7AK14S1SkX?2p$Fq2Mvgw8pu{1XtT-}knrmT0SN&IgNK2_ zK|^GxCa_iK->l;A()R;Y0Ed8sz{7yyph2-yBigDH{5KPwu1|bsnmx;Ze=cU$|NY;| z8#XKK$b%aXmGrNuo!^;+B-R_xt3BxDnIqcK8u_cp^XnT9EYeVGDh2GCKHiK5?JdcZ z4xbeJj9+30!Z?J!d7JGUDmK5V&(GuM(ak50KZ9A{j^V4jyk6Ml`^H%$Y6wfRKUl)K<|A(`>OXjPH5Aa4AfNw} zUZ+U^&%zXw0+zm<-qSukyjqli<}q2=eI_o3lv#kF^II5~m9E?vJLzvMp{+c)ad$L} zYQK2sXm2W4&5LMhX@6HdL$D^}#=2M+ipnXWAnqrm2I!s-`kVB@Ub4# zsPNIDP5aEv_Yj+#k3aI9E6c;I6q6B*{fh`OEF)y(<$}POn%TbVaV2v6HVpIRIgOFWCF({xOhWn*}=odN*pPE|}hTJ?{-VkScNd<_mOSb&{0$ zhX{a0dgw(22o2g~=Zy#`0xbT}8_8G1AJg%VvfJk0Wws001fW$C?0ayr!0MzK7Z5{- z^`p^6s9%w1wQhLA4PGe1RTNN53n)~j6v~o*sMCm4e+{4EnlgtpIexn^tj!)cz}4d69f|>xh6l*#ihTGyV!kIeWlY zNjI^X0)OyVta+@`fm}u~nU(aK{{=!17=Rn?bsM(u#P+Y4YVUjdy-WyoE0oca2*D^V zh=w!LP))$Fy)SGRPY)aH1(Zt#*=n6!Q*n%Ke7J3V(%<3lbQI|(aMD$OWNQKF#+*P+ z`5qdIEwlt%7|AA5h^-`yKurTg>o9@85^(ZWe-!I(=*G=JP1_zC_ARswTNv5@4Ve^V zD+wx4lL)Xa{(mK6O-w9X`(8ccUV-T%{{vy)GRd%Il5N5yUyVeu9)M=s2~4;9Uy+fZ*u((a^0RdS z`&YuXpR3H-|CRgv8{q2f(e%q_0|3x{X(FM)s!ZPrFLe%Wv zrZCI4q|kX9g@z4e<8GSo0-8ht4Z;vsVbYPoeSK!)e>=gh$6~Y*GPnvET&i|$miu;V z0tcXPLD2QU=>%f8y|TDISzKN(ZUz-~!^j1oXFO5_*Arirh&NB4bgVDm_z6@PAdC#j|UFGIv{?3fKW5kEK6aW3z4m_S| zk0rvaqQ5()LVEJ^Rf%(-1>WU0i^qO@%i`t8@hnvYPi0woTTQ~%$VfMp%^Y4k9Ug~I zH27q1b|R0Xa|HX#ut$v=Z}Je8oQJEE>1pCxoEj^+&Jv8P@zGggVuc!75+2P?v=%R@ zc2-skHzBQ0P2lYa`8T&c4${hfcAcd)4`ZgY#P(9PhH#ywR5xSd-K~n9sXg4o>({wG zOuMIe>Pf0>@PkD1)3!8D_q|lO%GKG6M30Vkd$}sLbIiLDo*=w6Tj$+tkd`)XCpQ7D zcM`Yzks=KDy(RfdlU*IRfg!5N9M?Te@XFQR^F)t~c6)>}u?I1{X6^vmi>#J5A+7h_ z0}`F5SD8xg`P2FAL)X1j_DXK1y+qS*ZP#N#YUjfbBRng3YZD&3*I+H4_j7wpeS31= zB?pOe(RU*^k2-Hpd1~h`HzRP?I?eH;RE^Ybd+RurtAX3om9T{S?zA^Sqml4a}?@a;Aef-?<=0okLqiyW#rtgo{!#lZx$@i zCnt@SKACUEFXs_95yu@~lg}^X9_t<64<`4GF0GhW%JV*2K4qeOIAsow=3?kKBHCVB zH0Rc0$rl@M*Y9h)ZAS+gqu%9A9I67nrJGwSLziDxFTd1msqFTaQUt3p;OYdiKs%Cz z+N2Y7S@}aXLJO{vh1#VP3|aY8G(z_Ph=U~JLBcj~7QqCiK!%b(dl8tOEcA8;avulj zAGr*I2@Zh_hj4!$z}27q0L*RzkVfg_AP;qrMLdWjm>?C%kn(3wM$L2sT*2&Up|`b= z`P6w#^PB0O%wez~&Qc>A?;Vkv$ z)qc`f>5>`gvZ1qt&qmI^C`_4sg&AMxY^PyV!V z*HGH}vSO07b7wOUk7Ds+61_MZ?ZH`P>V=SQS8!t&r~W8W&Qx z{ZVy(*wB(`n^83vDC!rrYC!m6~-OXb)g0ew|cj}$xHAD_?C|~iU&D?B_lk6%YQ%&x7g%=P6)Z@1{Yb#oT2aTaZH7UgnsfttLn@JDsy$*mQK_9!8N7ZDxHilAnNQ?P$l zm`vo^nF-d_JYN{jmB@FqsFFQepxojlRWCYOIO=g~(aT&5i>a~A><)`*LBZeK;tW8+ zcOvKg(&Gd{&U-BD$qcuMneo^n6cmuh#4g{&byW?mLjp<8doJr~+56iA2&8{k>AJwj z=@~+p=A47=`hSKf%053u(QyJl$U2`x(Xj_7&pK~I(XjzH%{ zYjHB!;;hxn6obC-nF*0;`nwZ013fdl>+;_3H~bF#`G#M`mnf!};*O9)?Umo8C-~zhSRnr-!)g{otI5f&X}Ob5>iw?TjrN zyxmRNu^nUJ*-ybj2_XEAgER~rVcX}AS3@uuXe*sF2xdR#Hzt~&l%@GOy7-XcGe;r( z;M{FW8qRE>A(p$eP_%h30}W3h>7e6QisP10&85EjV=S$wI2tc34E{_I!f+2d?PvFb zSQwF@Ai3!vx$i-8|2cq*H0iUQ8DygN45#%Br}q5v{}ODOOJ&#ZN|g5Y6dm;x4fhoN z7XhS+d>`tM$=s?-^Oj5Vn#-gAMi5kl=mqheJQf-zI~OLK5GMP-6JVy)Bh4o9+Vu9A z9`=|H^_cej9|Lqe*=Msj$l2ha$(f+ZxS+}ZrvP4AQn+}^f|S}biPkfT+LQG^1zU47 zOj5FCFfiG9FxkW~+5fWu`{zXHb^fBM`Xi3^BaX(S)<1$C<|FE15-;^fZtX{IjYrS_ zQPAVgpA1@prM2N5xLK!-TZMUVa&NnV-*B;cVQ|XvXgJo5uoVyn{qstub3%wx9ba&# zo-+gE!}_bV#WEq#0Y9!U42HNFB6O2Jg?NbKW%ZmPS9^=jSVsaOvu4P&sOb(WQBtp) zo6B7>4sMJnWOBb;fA%1x7|qYTt=f_+TwEMoG#}oqdAqJM(cIA8^=qkauV z1g+i_pf(`P3aEetDh2+@YIv=SyPn_qEHmMa3zwHlGZ{|4klzsuiFD};j=+9t3ywg% z`I*`^(p-PJrHpl_Fi1lN28DqJmg*un-laATjm+RHhUz*T@r7i)yN;FUo_4YEdLkBU z!Ctknwk{s7#BNWksM;~6veh7cF}zKRa_2D9;oT6P!c*PB93q1|$o!#R5d6>~GlF)v zKnRtixM-33q28E4k?J8TChql=8$4&bVA1fQZ^(q*RO)9=AyKu5iJ zZN$?TVm=iF;&LCeHn}j32)S-((~+i=U6(!1b(o(zJCDKaUUNwITV0dDn}ip15!QZ1 zXbYs587FX|08WleRH`wM{3Qn6oDi82hoq$Fm4KQYm!vdfAlVWVx38#ZFDWTKe5=5y zB}q{fXdu}T6St=b!a<6tWbc)LldMQsgnHq0vy^Pl+uqWgT4{A|rJucTbGsL)bZ=jNZC%S}!hRP4x%Ny$kOG zc=aqG_&la;vc@ykmfmof283L^MuWkT>9GuGHQ`xiCrUKg<;Jxt>6R+gn!B|uo(;j{ zsx~$A)s}vfsy9pDST$>h7y4>w9xXp-mmAd9r(2dgl8Nz)vKlo)FG&;`f*I)v-KWR4 zJIadi)3V1N7(1OGPXI-$y|0`{-fiBu)gv@={b+NAgB~mcS>e`={a(*hDFGA_h#q%I zgxn+rqCt`o8;J57S;hxhtdTQja;(`(4zqFB)L-T<1SikaSV!vx z4wnfWtPX#%R(WCvcEB-i1V`I}jy4M%t`ay{AF8uewP6RY!!ce2N4tTJwhJ7t6FAr$ z{$i_o#SVOjWBdq?_5&U57C77_aIig8XRqSM3B-eELM>E9_TK4oXC$;${S+n3t?;n)70!FP;D--oe=1ZH<&vXvYs;u!G(A}9Qdsx zYL_J?|Ei*I9kFj#5>N^caHt1J>^<1jEGkef6_A}8&`br8M+=CNGdRKdC;sKndU9&M zV--Ms+Q6Y|X3t4xDcXKblz@U?vnT|$lG#}Vf?E8lYbOQ5 z)GTYD)HUDlbQYh6Z*M_{PQmLuRTRYwi%|GY$a8ih!UY+5ynyW0Aa^bNd+IN;X!-40 zfu7>BVx{E}a#DEs33b0mkle_3d?>He;4Ng|82Nm8UtPv@V6#2x+c()<$m;g+%e*1x zgEvZ#`b?VF?9m99%~`TSFMWHkc%DV~!w(m0=tpi4b6sTc@svC@mZZ4Qu-PFz-1rYa zK`e?qeNJZB2nZ;1-^k#zsVZu$pjZf@2Ahcc9kSyy_U|1us>`05vG|i_L<2sNsu$)N zli$qbg(9#FEOk_G0OtLRT(QP(fukXhdaImdDwH~R6wGz^MK_&7A%HsFr zBk0eD*d7Tz-VzQR3C(T5C3A}gKL^8t!yi$-UVh{4d~RS(emT*#{_vj43z#1$DB&q# zOxbsvhELL=z%NDeRv$BUxuA-8zIXG-3N#3Vz&JuIKe>FQvzu`#9J<6^(rfbJ9m#X74@fo-$bmF>ReC`L|b|faQ zUo6VdRW8FO%`@F)v(tBxEU%$x-N3Rr1#91vS63zmhlwpWb|g`Vyt061L*$Qy@{_zBwmedX|pyqpZ5j|Xn&qmD|kXVusWE3Kt<*HSuK82*tcpHXX+)Lr}HsLq>Ov)yt{%cK!^|LrQmz_TR~!2U6Sd!(++p^J=_}R)g?0M4#2}VX6ZRl|d`DhL* zCpNhEH9j7JJJY)_WZ>l?Ru>K|r!YT@q{M%BP<-48`SIjOl$IWHbwAiIDvympOH%~V z@Z)~_k==W!tCfM#O+O_$?&g}$8$)heg7CT8AWl(Ze=CfSgX3!b0GA$j-(+vK%-W)i zg3NT#6dccR;VY9{@WrFic8r$}X0;pYbs2Km5B5>X@w1DcPuzJBgX*q%4vGQXNj%di zE{eOjEWVMF(4{^RZKw>Tn9RYpJvl$So2yOjm0ye~Ng^j)Ho*fC|;X(PvCGYJU_+ z%-av2Ez59(mrWg{oSYGKD(G!Rc0#+IgSZ6&j4nX2#e&zeJvcmFr zzh5BWFf{!AxmOzz*c^ZU1>bFWSLRD%{!Cu$nm_;0EG;#4#PFVH7-1@Q2BFydOZ;+H zB-#FioyY!(v<8hwaBS6!K*4i?;+4wR}&ilpt)BaiZC|l(Q{OIfRIDP9^-Hi&~GFGPQFzJ_=G~H3%XZx4)=eKY7 zZg+0Y8eZyY1qJFI*Ez26>1+#W$!GlI&0oveVQ}1+jYI3l|MGe@jFA7=8Nw5 zST9&Nj`2NT58T~qHGFh7Dmp7V+MabkvJp~2I$zm+*muo@Ps+l~iHLY=M7Z(dpstfh;f-t8qX&6KBe*1D#!!q76TW#bHLi zuc4oQm^v0Qp^+D&P@|d$_B5H0VHzs7D3Pk;+IKPC6cKZ}KTY4YM?{DO{?O-UWP)#f z!C)F5ftEAk)&qTX6vh4~Y?Q;G5Q<*q2%^#A-!JA+9mOy#TmiQALt4Ey3Q2-CZpay_ zmGHotK;XP3)ULzcF(D*t+?pSWrf=1$*ArfhxZq{tXf7TJH4s4sF@z}kCf8d?1Q{hj zsUlRdgL2V^-b_F0i!>t~YMk_#AG9`5UcfoOY0Cs=YHSEW+%6fT zf&VR16_Xxoj5rQv*+^h^yyG2AI0hYm{SY`kne@9&%W}p<@D(q5gosujJCBD)_`S5~ z)wRZ(sQ~m&E+!$9_fK9hr@D9{93^DLF#h#lbNRQoH)@RXrY%2Zku>;mWow?17$ghG zIF{Uf;Csbn+pWk|i`cTI!d9Up(7fjvLs3%rL#kU>_ciX*4i{6N^9aWT6ej%3rtC!9 zXB<_tXB?ls=!-TW9m;%e+=9~Sd|B}&%I7&Ej6~nk<>>1<;~dB#T94v7WCjsQeu6O$ zJRB3jNV%Z#^r*Ju=|@tL8K2T}M&VNsH9-9kJ~k`{qK7qzBq!7M8lWrYE5e14;kqX4c)zds-0af*W>Bxk2vrA(8#ww777~S3%3%jKcIJ zEj;bGgVDSQiUcuYB+4h?jOK0;(;~fTDx%WfXK0V+M9MwmCA8 zZUis4;*@{0ChC!*T4dpV$P+)ABz0h%$RphWEA4}svc*U*fUc#;@JzYK)re|^!Qc|H zbPHpccVZ8RULdAKmiWf#)93glz2{T`D>1X-p5AU;KaQ@%l-G_$ZY34|F8qc5%U9;i zfB-u{#J?#!8X{A_S?yrW1KQql2jFkSnMa(aV0iY;u9zt)i~76%$wgb4WMxN0_aueW zLTnc`V_W+>Q(Sb}&7pYi8+&;LkzCwZ1Lj-;E?L0`jyu&RMG1s7MreoZVT6^vhf~T5 zjnIhoWL>(#@DP~DOKYZ*0xNcF#oqam=n9CWUpD5Sh>d65CbXrF+acNL2?8kSNbx1> z&XsF8wh9^#2T(xtZ<3O_N$JyhK(XI`^dAp0fU}Nb{21{<3!o-Fnv01Othbj%CREX;b(z#a8MsRvHtP`H{JrPy+!?Kxz+AMNxbIDP`SuRdHpb! ziBw+ush#~O9Ca_N`BGM5Jn1L1c*R-?i5If9p0uQe99t{>&&4(dbl0$2nu}AMGMwi5 z5pdev!Vlt@JG3`SnWLvy620V~o)mkN^;m<#RdS!bzv@R+Q&uR{%C@FCMXlSZ)2W>& zQ0FC-S+s$N+@dv9DEPLBu7)yLpc`8ErQ{k|l19aisYpOu8#ns}akT4remAt~`y?6Z zMLeL>it!>E$)g+@f%7n2Q>70Q#MFUg7&AX$6qgx8*Z$BT2lZhq z%LsO7717N)EJQ;?ajp#ij-kbwhMY73{ufq%oO&30%Ue6Z<0<2|&{b5B*0f@zriQg1 zW;VM~f+k@UMuaniES%*G{7Tg~9%HR&r?3sAH$q_#P6NU^u{@*|#F!Cg1qWZrWd!-+ z?V9^V9ip(}tQA>;%AnVMSz?`VL?Ua} z9BD;}Y>48>d{uN2dWY%h?hyO?*LWrj{~u-F7-UPcZQHhO?6z&&-fi2qZQJhMwr%XT zZQJ(i?_9-=cYeGVF*3#+V`i#N= zh`33SSs-wZ`-p*~^Urx8L9?nlbpQlQnL+81^^%V_r=-*qN>mSO#x3?{k_Z5>v z_~^^%zSVp^8=uSLd>&1&(~BOCpUY>9nW}tMQ@pyiw6uJcIydn61Cc%N6}GxP?w((7 zi(mvmLCd$^&K;{_+P9AiLR=(bcLNPhDrdTbWy zlft%37?X#}6-#M5-0W8&5*~!!LAE@jF%=mU8t~LrBT`JocBrm&* zf*SX&$Y*?{B{g6o zr)~g>bO7Bdn1iFrKRDA$PtME`PwSHgNwi$?hs~=m?WGLX6kXNlX0Ni3HBI^@hoQCR z&&3|UJ2H@7i%JkSeWg|?qQ39;?sk2iYq;9IN=KXe=PSA)yxdI4)d>FgViL~h<_b+F!s$s}2iLCE$Q7K_NiJW{)M388J)_H^U4GaamkENYW!X?KQMC%RyDSuo@) zcy4Nw9Z!m02Sz_0SK7!~`?g&AG05Xc=T>Y-*~M5ThA9oLUKGdlju>0d`uF{F$R**o zh_OdtFQ)*ghZ%avdSS*|X6ii=sV!CoOo(JAG|9u?V-d<@V--ot@)T4G6ct4ZDkhlv zi={9XqQ+`0aCJLEQNsO$zYPMQ#)LqObId4S2@3!&%wO-g)tQ!r{1Bo3%B?rvBjgc0 z1rsSV3S{7Ur>7s^?etzSGJ;ECL`n=vD~@rX5C#D>VptMDr8v^5sp`>yOuz<0Q-Bhr z1#;fne&17%s~=d<6ZRlREr!W1vEjrTYfCav+By{PAhJ(mX`$yU zqgX-B%@NLkxD0Q;Vhv?GUO;4U!;UqtfLLG}DVj`ldvrmg2lPDSyg-@Kcc`LuWj-L> z_DVr#gb4HKFUpQ%IntHR+sAA$N-5dedPT^4dx)eFX0WzV$NOlH)`wTnFXnQ7+%uzO zWhiDm^^Da(A-9&OXDjQR>B5z;7<%AGHco`C$z2?k z%%tF+nK@ndCrY{DwOASRdJ!3{Y7Fz%+L#AY(J762Tw$9lfELr^@vtI~VHfHQxms@l zS))ppg#EGJ@G(e-M}J0#5ME&uhOSgs9_EaxzLbF|(fHxLL7>J7yUz z1xZBX1)@_fylmg=!~Jn;n4lZf4inq-lF{Y#V;dKdj9FT=2ph-WC4y3N$+UouZi7@c zvMeS})vrMKzMA)M1Aro^YKZ9vcJ_LSqQ&>b&@V ziU}T*Qb0a}k)3fE-T?epdYoTgo1c{&Y%OzKgFIwFIVtEwm_06s`CY-KUm701VP)zs zzT&z|m%lFj@}NVRvl$-3B9LgnDDwQ2k}c!7!wdp|0-(u8mN;3IMTkYPV27Z|{H57{ z&{`Bx=|@c9IAYp5^`#;K6O)|Frfm$w^s%JmbN!Y=B*wHx)h&2UvW;?xfdCmK)X8u2 z4h-ttlJ*#x=5zz#oa%p@c=wBf?MoVffq>u&>Fl|6{mqzvDzzaXFcZpxUqY#!MG>Ld z1^@s=R%SRxbr`4r4`>_&nrT-HfH1$RX>NHmf$)o>*H(mo(^{y?AujcjoiI8h+c z@UYQ^fV$%DQL9M$T4`pBP8}MHPVJwj_0u$I1lO#wj&>)HRfdArD1J@PopnT6D*9i|tXV}zLZ=El;3hL6Q>3H< zDhGJdrz(#f%BELrZepug8R{@-%3GK!P^6MS;!BXFDr>&f=RG#r_iCiENVZWolI|76_V$YnbbE&V=e`oT1kv-$NHD_h4BOg3BG_m8LG0 zULtf0HNMHfJB2JP$Y{IgfW|*c%?gom?z2byg`lBQGxF_d^KPLgX!np*!Z!HrNw|gk zi&SR3&HnWnaTg)6753$lAHtlZi0m{dwD~!k;PRXH2#;e<-KZ-5cHxq8WH> zy_Zc-K`<%QeCLMW5}SqC#=M9=VWxsfkMAHpf9F>CUnRS%K*PMz(3ejesXdr7N?2w+ zpE)wmRB=w9iU~ZQc?IHHUWUc3f7M!7Y-PWZj=3<;$oL8^6T*>q4BTa>0^X=?r{C4wp{3CE&7rsMoWZ*h9bKv;%alGbx z=fRa1_xq)hZ_8^{tFzm!!QI~5k=yH|)*bEg^^deFt`WrZa>Msqjje8%Plu=T?b~+H zR(+&BZhkMqy0T}y7*U2)StWD9zSwUF163}}GkIISlK0s#Sr+sjxY>K6wQr)gdBpqs zabBTxAi{az@qp~9gS`X!kZMovl<~3?&dR}SvionMka@%|-X7+7>J>ts33~|v@00KSy$*Rd3E*tNnt9eMM$Fr{v-zCkMRsZ6{I!JIMP$}cszr4 zh|WlK;GQwDEU{l83?!(s|p07T2M*%3%eoBQR(@s>SUcV2pDRF|)f5GIs?s zd;`Q_z;aB{X-fTiVuDVqyJ6xixY_OxnoVv=tPxuuzkNq5;p^?ZA2eJbuyVgSV}TcM zI|TxfIZiU2lqacb%(Rzl9mcF`0?KdtRn>%)-^5teM30?ej}xko5$fSfXs9EJ&!Q^r zGGNqe|HT=Ji2Kd1>?1rKYxWVgVzqGTlIgf5n43sWO3IUVzpjyZJ)*z01BmV;gCS9v zc-SlvZ`k0kPgiSBl~6Go7^MdrxjQf%@w45tKx!-UalNG3CwQ#D#J*8#H&iz)w^ z<>GWygqp};Lk#e zWHj+XY@YhAHJe>5#tDliLIJOG^e7@Dg{o>w$f0{jJ+ttB{mnv zo`P%1#yV*2qEsyfx9CvF*vD5^lcZ^RtoNB$ob7!JJf1^E^k|(T;V3vuIjJdzZFXaf zdq`Z^c-;{Hn6uKlO6aSqT$dnFatXCan8baapdv!bE+)Y2C54LT0lO9!ep`6{66Y*b zhMTPTnK*(GM=NBca9tlBC$$k*$}FXP-E%W&W2Vx;2X@EkY;m@!YjHs_j??#H9aBNYw!NJ(HSF8>b>A~|LLtvFc)b2R+lfN;JPxrJj_zLdFS;rzw zyw|!wgi1x1zjcu4u=1NJgF_{(OAdGUS-aKwmIUP0wV=~)PKJX2xhsUow(zx}voDAO z5)ZVk?Xc?&1fpvOlb{TBsK|6i=_!>nXr`>fe^7NOA9z6*${t}3XQA`wkUf0x182=7 ziaomzu7a5j^mxv!38Nl@!hMoVd{hV4Eei-eG=`2qf1|p&wmj&T-nuE>pbJ;Sp+KYA zf=B$EE}N{_-*Wqr#TAo6m;30oXwq0Jghc9tmnrbfk?eN0#6r;#6ua)`LBm2?v|^AS zS7W*j3F9dKo_$GHnRDkileDyJrC~{dJfk!)6b0&QQePBZ?!eEOy$Vo4$Y8n#RnE8m z0$r}*n2#dqg2^5U{VMmpX}OMC4TVmhxynWtK2U(Z`f4Jz_;@MoMic%cW2}bYer|zX zFz10e-9n6kuL#hDTva;b3LM$^S{F_4uN5i|8wbV(OWhD~YZ5aoVb4>U-lNPr)2XJ4 zX!Lyu78XC{{`c>qKgcM&6s0p5CUO1upLWVZ{%8|| z#_pPgx_t({oH23up(t| zfWA;Mq5gM|Z3cQ)rhi`gYi)}?3j5{LBX|=!{FQ_`bq*u~s2Kw%>ZqRQ8d}VQgVrr9 zvB2rF^HSaFyRA*(fFrhX$h~2OGw}3_E(AZEj)^!_NO5vL(Nr#DXmp;e;dAkI@$T`? z!6e+_5Du<8)4Ipzqv5maS+(StE~R1o@cd|wwb;HnJi2MJT*9UKd&J_kXZ)~Y_4V$| z>ha5>`P;zVW$AP0beeBy^=tL4Wqf{|uLHl+z0G1vyLzj-TE&-o8-K%V;K_SSTc=Y~ zN9U7+%iZN}-s<~v@$l^9(TeU(%oYwk)TBF&ZppW0+Y{&Eb>4J3)1ITqb2|V0c;=L2 zB6bVskV5_LliHm}ij~&`IhsQCcQYzxSQ-7OWE6f+`4v3Bb=?@|9=&V+7255wt&M5cF1Hk=)v^dgiIML&mpsS201y3B{XuoGFg~@Ei|W8xaDLF` zYhZ>)YGjvj6G831&*l!~pf3q4i>%$0VF^#49<^P|Nf?L>s%m-JRGGf7GOVv`ti{)4t@gP#3K6swX)-Vo}owdARnBs z-2?ELp5sE|$C;E`bzdmfO&u6Fcwg7zujb!ODOj0!PL6EOiP#SC?QMzegxm6ud6`^g z*vp@<|8V9?Zm@^^q^dkD4~V&|CKJ5C8t&4hP@IdY^F2b*ruHWHkFCn~W2>slP(7@E z9o~IBTsl0Z9u0|9P|Qy}`Mf^XWcq$vU+Xo0=SD8R-W|HKZ9neamCuiS92B~AeD`?P zsnlAxV`s>be?q)2lWMm@i{ehD?*9rK_)9#$tHm)D*c!FFk-?`qrFVsR{I!0#zP)Db z?B&4R0G`|LnjL$qnqQ#GP&i{CnrF-#p>k|Kzb_bO%W zRZ7?^)@oErTq=}07Rxp%7j2X+*f^W8v)7^}FGWjS3Y9u$%QnduZN?VAK1;%`b8(-0 z#$!cByJ3l0u-U*i3r0a z!XznbiG>*;CEAEqWYPzTjSgeoy8QD&o5>bn|~{K?;@Rm%y2hi$?EHE7_Me4l{! z9|X&21j}fECT5)}Vws_abdVv3bsAa)MZz{y#4^hPsq-(++f2&RC#VZ9F5N_?hBZKz zkwmtp>7W~8%}8Qx4+X1>CvA#1aV=gE=W2>RFtanjKCM)N+t_k4AUYMN0#5;}OLnSF z{@7v2-ZewWlmO2h6~G#FnFFoDS-J07k!>$c29^r^;i4p9&=|0~Y$tUJ@SJ}*Sp_b< zP{4}JTY|oWiezYb9>}Z`Wk(odg%yn?W6c)f?4P!7i-5(7hT}i{gdOCv4ba%3#kvV> zVEw&YjxO~RXg~X@ZSxSYI>CoU|45~0zm*Lhg61X*WSaJaEy6GtO-bZ}&hb zYJLaK{>p64At)dtI1GsSXzj5C*oW3$6}X$uI`4{{%l%!56jhD}pIe;G!mANw{D6(ts-JQSD>3L=_`WlAHUhAul8N>qV^~7FA=z?`^TvNG3wt`1L+*qyC;la8B-6( zwNn0DkZfTqXo~e$hm$|4D_l0POJNT2Qk8q7{Y(;5k& zul4TwG_apYF3`)?;#|D-Y4<64h}rC1+zo5chpPNsoE;o#$cG9QuZdM#HA@B|lCKO| z59eIMDkpI<9pnk>S9q19qK4^SryUaZ@qrVu+msw>owcmw`h^-rn2aNoB!wNI6q4pq z5x0CTdX;5&{4ZelSUc-OMuN(E$6A^68&=~vX6(e`)imbG;UaTZQkez@^NeVT zMXQStOEMJs{(QJl4;(BzGwRT4F>HOLEkTJCataL89p}qqA9@H8e>_l8l(aGbbq~Nu zA;?fpoHKi~-h4lc=0iQSP$W2K_J#c!KOsO!cxHNdB1lnAj8lChzxXICa3Z-etqrlg z)@gzGkJe9ie=gR|ckF7qR=nHh7acJ9xh-atZv~$GCQQgem+-|lgI|~Ch!N{(LQ zWpxv@=QSr;x)!y5NTx%1vr)5idxY|6a}RYL4L`^1_RTCZma@_9Icx|#RHKuvch8WM z1`kc6m2GLyuynC4@W|L-xL+_S{qTzMOY9skdNg4v>ZLD#_h*!@dfO|9nBpx> zlZlCAKIo(&~^*h2Fn|`Y~BJ(Kt${J(l(*G*#bj z^#VV^26VzTU-mw)oy%0KZ7b2_YRh@%DhXjAEpAaNILX&d*k!_^^#(oI{U7FMH1K7+ zhxe{fK52l_Kf`3a=F(YW9O|5>$KHKjt~S*%!h1|XRiS#HO?Y>Mfr4SN>l=%g4`RDW z$c3F91lG_)+~z9Paq0h5Xq`z^&Go z2{l`t#nEJP8jb&_G&_^0p#;28nE%h3%EjZ!)A7a7@$Jsoan0LEl&RZ z-?LidB8@=7#G4jGM@6D4*W1k~U?2BoS$mGXTJm1a$w23*xn+m9(+d%9Eb_ur2&h-J#_!2Ew>HEyHW+8vc(%LG=Q4t)Mh)ZIfnkURN-XL3C+I#)e49VP^mTF2$ z0c>`#BH;o5y2%o!iUBX1D5ioE95qS_Tzc^m%dc{Z0WR#C;9#QIMNi%c5Fk}c7-RI| zBucnR<5}r9m3yP2sCi+8jXV?Ya5aysoN}fKF_IW(AYCK7qGmY2kBLm;FiH4MbjCdI zoGYHgc9&|4rm8M%wjw&V{*lB@x4IOze}9Y~-}$}e?+t9Fr>)5UxSK4Mr2yX8>*|A7 zF|@e*%d1NFR53V4LW#Yw+iHC(0C+6Bc}7d4!lPjW5O2dm+da#The<9q$W=O_U!B}) zn+9V83AnAlO5C|4mE(wm{AjHW7_uc4vj(lo$v_{@-G{kOes~B8mO7no2EUszm^Yv0x->G3X2-SFHI^w(w319CIrIOQx1aWk;4)E04<-Qq(?ZoJ> z*rRD68*kfZw4}S?pqJ!`mg$r~O0?&t1BniriYm#+9OQW#S=rq_%?jghxo#fTnZ*Pj ziL#vq*~?*@Ly-;NPrg~>e8+O%K9SR^lU4H8Z25tIAFbIUE|InfT)fA?>(0Y)2Sr_? zP~l0`{uUuHQUpmu5he=$WhOp&RHSQ!s)#%?ZW)l()vEeZn|e|~kG1{inczj4978hh zw@_|w-^cqTKD@8iPjFnX9nm!6+cF+1 zHE7%Oxj%V1y)NhL^L6*o(36^Lo_oTZDuzUt>OpZBzwj)+Z#pM;P4{{Ca^Kwbaep1t zQ*Xsr^EmMB`*OV=3$MH7)KLx`) z#qXQ!4+73}7@fP4ynM%5vy%1a7j$>gDBsME~WNHoM$_GM_uGNJ-EP zhG)aCd5?2h)3P~?^BFtVyz-~9q=}7R6To`jJQK3<)8MPo=A=iaY(9*Yvf= zjox!H_kPYX=7mH;s&Tuh={ZSuHo)0cZVANNvVC45RCZmv1Q$Ecy1m>=cphV!S(Zfx z+K$Av={KQ9IRcIHUqgAn2rB*|)Y3gDd3(^(R-oTnfRxpKVjcehfF`ZpK;0ksamf2| z=<&~D$TNeXM4v{ONUF1|qWNzodE;?GGk6lXXb85c{N~L%Xf@QkwyWq@jpEo^@ z^Rf+R@?5fC!EQ{B?WtX3(wy{UoqKs)C%Dba2XlJphxi@9TD$3hadhsi?7c6u1DXc4 z9IG9&D>k5rGV|Bld$4dr#g3PUvc+@HKYH6(frX*5!uqe*PMGxMfYv0faPmleSsY|> zlXt)rQ9OP_Jk-%~f*(__LNk!K+hhmNW}*Cg-2}egvH$y+$$HN&ZH>`F9!OLOVL&aM z59_AN|D|H*$jUw??+-2aROSB=4Cv4liX6=vCJJ0u-bWN1zw$!J0Ri^Z5ic%9W^fFr zX%|`r)RxFYq#A6c4ovG}N5+J;WQzM{)(f%9l2zi(ERTf1O~_*>-koSg2EJ+y>oMZF z&P(EsmkEKFi7^<%G`jCX74SpUCy9ZpI#ufj;Ve$c!~5 z3S+m;0L%oyU3;M7Od72cSK4P&MC8oELBei>Zd&MSyX`EahhFlvBr6f4Db8_{O}H9A z+N8Z{)^-hmCwS;lXwap=Y8OJOii1i8Hw?Iplf1uz4dJAU*ICi`>}S`TtSjRYj|r{? zt&EqwTCOwyaL%ws^2K0j-$6V{P|hu>Xn)9+q;--2tRr^#)?xiI0XcfNo%Za}9WmJR z^=`(PgZ>&oiXBaLp|WsAueuZ*bpje~{Im_8x*f_f1VlYJJej!Uo`UR#hYercB!Enf z3BDo8*94lo#h2Y#L*{a2{3c7kP*NGHL#WIT4Ge4zF#$lcv&`1jE}5{%rU85|>CinF zkOB)vxs$}xu9A-e-l(U2H%W@|OEo!zlR~X2m#oWW5V%N)G+GeoowG{#EVU*TAnDaQ zaggoPzS-p*^NvaE%e!laroOnHTH6lU)&e;11+1Wm_w9ggwTVBVYw6GR;VY2b3P zdsh#oPL=EVmUC)l(=~nU)x_&~<(O89?ZOU&{WHoN5ALeFAT}RW5gUHajtidbS?w3# z0xm!=V|Hkvr-52X(T)>d%vG|kK|dfo^z*Q;ej3j)AdO&t>P^)>R2v|7f6N)y-&2iWQhy zg=_^tDw{^_l~w*jBu&wn`pTz6@pl~cf`7KjfQ%opWhxe2 zr~S`eP$!oe_?m9bg}pr={-e)g-ipKHL_9PdfXuJ>!ohwLr!DJq9|eipzFOT8*~v$3 z3(plsSLcqZi=KJ6e5zdfOfL!?6Ms(+BrIXWcV1j?Q#_lM&6{|b`u9tL zC?mh7^BTYh7=|X2Ha@;$h^!@?S%*#~|XFViL~U@!ktaXnyEnaG(V=rxGCi z4Rsgb(Eu>_crcIO#4krMDbX9#J!xWyMIiq_SRfKF6N^)fA(&jDV7I>0gOcA^9P2L& z1y}OAtJaNWTEUUaTSkU>!NDD|cIsU=>|L^d1AFm+De#y{EcA4V;pqtEptWDF88QFb zIvEAjjop3^q+k?z5;5t<>v{I4dVHuk4rGBlvN+K0!6O3(&B0+!N(y*;ac!@B^TZL6 zqu*B|oPrH@D>LHd-**Upa3;jx6TxjNmp-nww7h3kT@xI}zWLA^`f{(p0A=Q;A3K_mcKb=nv<&~$g z5p`%zS8qw|Vtv81sRTW4QFftsb2Faje&IA6DR1&T1i7Lk2_SfS=d;Ys5nK-GC;^h~jA2UpGpDb8K~ zya{llc1e|AbGqz0E{Y0l_L6{rs@1W%Eyp))EV&Zz>zSr%dZRTb1^t%n!dQ1qB#7TB zP_Gl9UONE2Hb5FJfHXQlF*LwDXwYdupHu#T%?|(p@&Wwh0r<%Q2v7hJpa391`6+=2 zPyyp20K`QAihcnoA_CYz0I-4hVGD+03&Qt>;swNdlFHqx4|)A&NNbt^2V0gk^c)P5 zK&b3%q6IHZfL+)g(RR(ArsidC)Z=8=$2F9KHGNh;LmUQE8=fYjjQx=HR+;--*i=WnPlpUrC z2rcL*@bnk(*(}-%`~@F!U=5%_@g|b1j~jU4)t&=Rt_4zQI5aVG7ti`x%{S{sj+Yw_ z4psJQ)aEZW1*ro&X7u8f9(ck)OoH%4KBNh<(04}N&wT)74+!>Vr1`miD7;8{*Z&BsQLf<}gvi~FZW;7mqVTFaz9GMdbx#w^8+Le}jM zUm&+gNL+m?{6jA>h$L|UC6UxG3^*-J8!xPVyT>nk1|@5ySy!Shiyavglows^`J`E- zUwIxRpBWQXH?oydO2gEoIUf&TLPUuzRp1wD7e3rbf|VGfyPZayVy4BrZNsPEM!+x? zp&#DPbFy`P!GEyrNRU#hIS}9S2^{Y`?ypu@v7RsoYa(g!nUMRL(%tV@Q2Bsb>85Ug z8t5ive&O_nMAU0NMcQlViCMC7~Zq`9xB# zX71D~4kfivtGYPRbJ)O1ZEFDEO{XxhrDwY)`Ue1h015WWdvsvu?Vx9Cn$73E85kJG z3UjomXZU1?T#W86lRGQ;=5JK)l$SF;)nJb(#o55{@Tdt?129<+GBbTwlR zb154}=r`)N5o-~(je}}ndR3!Qh-nXOt4lpo%_C|)lZr0>q-(e<|nC2Su^9S#CF8;xdCucqtYL#?o zqoH!&pP%E)CEp*HyC28%_&t2zuOAQN1JAp=^Qkr8@AoA&e0;b*-c%S#jmIr(f3N6rQu6#Z3xAV&-eE7UPeBPg@EhV8fbVj}(A9pz;11$bHi0bh+Gr zL|`#&s&Kd5hTA0`8c!%<#K~_HTNv&oU)I)4L|K{~onU{2{H+FXWq$W&dG9iP3U%*2 zl)zC%isj$4hj9}|ilqt{Bd`Z;rM_)Ua05b;Gwel9aMO_B1`Ma_H4Z;+Djd^}u>kh) zxrkBP_Quh-r8<$BM+0rM8XK3KgqFW&ET#c1b5}2O2ehaLNL~Ek&)TxX*DG1Ct>I_y zKH%JuqpR!d$<4w4dezIVX?Txc_jz$Cqbsh6goWG;t6%w3omNc=WTvd}!7fXftN*T` zDu|czq-%Q?(@g5 zs9R3vxPdUzT*=;SY-Ivt*D06YULo-~X4b=#d-Qh+jdZ;>#TubX_Iy5{3Q4=2L z#`DKJ-Jy{6;ZRkPz%Cf6mL~Lai1)0_uFW26(E76EZn>gW^dg#_DbRCMueaQeIhq~0 zy)LlpY`BK0!r4AI3{`0RbOTe)e#UVrTna3ZX?#Wr%MGzCd~9GZGEg^~8&05i6NA20R^ zc02CaUR&U~v4PVieaH7Z_r^D`oG)J3o?Boe%l{6p^4x)Qm?%?uR$wAb21}m54j{tVs7P=JSDn@phRyhxvuUEb@9&rU zr}Glebfe!?9r4I`M#e=-9*gIP%m2CRdWgoyoeW(w;5=0N9`XmN@~3`YYsjH zBj+*r0O@vfb`Os!AoDqBbuw9zlF2}v8kuZJY~ZFwutU7;o--JNf8@ecX9osLh7gD zXxPAt0`sFVIVq1w_(muQ>y%b+;&`~Pi`@I7AG1noSvV1+WAYv0w*Xs>$bmvA4ap5c z&QL?jr)JKJp%XdElM3Hv34ZJCz)a?G8o|NE)l@dnj)wt%zW`mI@ASMGL-9nl-j>Y( z2VYrb$~j@SE1kq&jsnfpRg)E;19%k7FwHvy@F<<2o_WOQt3q`NXfNlpLGbW*R13i` zRD+u>13FnO3mLTQ7^R|=21BNwddx1j?fr6;iB=*f!ftqbcKf1lr;zc3yR@JQtm=@l zKB^EvLu%T|7a)YZWGGQ-ApYsvLu0qyc@FDRG;bX*VzO|mhM``Ehmd~yYdu#@p`}fb zZr;41?V>2^O_iy$#!=$!h|xRm;HJZO_6OzfG0UJtw;lM!{{bXBZOjg+-;){f>mT=zeSWvD5wk2x-OkMk&=hWB(J-n_GEr^V^qsD|HP zo37t4S3*2buc?pFwy91XTMien*|$0Et1#>jIfg{{LC-ker$NsLlT};ZHFXq$#))9# zq_8P6Sk#%!YRznF?@XCspxw0&Nc57j4M=P$-C*-WvcPh#Coljml}w17`hMA9AvyLj zjcpvJn3Jla7$xzE=qaZli4Dv@kt(M;e?H}jq3F3Ityt7?w6cSs&~T<`W*y%ej8efQ zJ;fSK;{OoYzcKB4%o07eTlKCr1FpOe+eM1Evp zB<}V$uATEdBbg~BKNXVpP2n+-rxtGk{i&D_3XfUeDTI}V=6fAsq2i$wx!<4}2hB5? z9WROhy#!>IoaBy|BG7Rn5_@i9?`sms6d8#fFU5bQK71f?oLkdL?Iif%yZxAyDmJv! z4=zaND;a(O%F{Z#S`2Ag9C?u`B|rXUhK_(fWWRP_p5AFoIFG|I5VBxxpGj+jUo{#f z9%ZteZnA7XOQQ~Kszck3n&g-*?f90J=R+E|H(Di{Ui{EjpDk|`FcU;E-#t&9YQZF< zE$MrPR8mB1uXu(;_UFGz_TQMdK4s9A>6aIM>n#!%no0`Rn43bT^wRP6Lb0|pyW_3- z<9O?+Oo(jKXQy!Jm~2_IaF3dolli!PKRgWkxSsFx)5nKv!4{Kk;Tqzc;H-NZf(i-| z4aI1Kz9!bLr929IEfFGCA;DhA6N!mCOJoT4QX=Rb%V=XErT#B~3FPxn6!x3chEXvZxp}5q0je=3`$HO}hjiPE zAa)bdCKNevC@(UbM%gHXwT5K0P4{nqp_;aalg&E)5|^u#&Gs|9`|e$xH|eV?+k-8S zL|aL)Wv25a&JBp}3}eO5UlyO42Vm!*GooFHzcBMAIjvpzp}RHtnW`MdU)*`NABLg3 zOo>$OlwOej;vUL|CCYAiVm>TJy7mp7fzoUBb)BK!tTT2f@t}yy(SCxtCKngYCyXyb zw}NU`eaxcqZS0n$V3;-FTqP&^4`|`GRF;W=t$hV|^cd~Xt4`Carpi%|{VQ1hN%IV7 zY4cKsKaCrwRh;+gSL^5nYx3Td^dh~AkJGvWIo^MxD8Yj7KSf_vs zj%42h&T!_*_%)w2`ZYopl~5f6=Q;Cd0{lGN0LustmFx+r{a67s=FKBzHJs;)@soEr z&6A#ILA{}K7(r!=lu4UJ$XL8P^3V44W7K!For-nV6!d-~87EG=G()r%{y)H>947uP zGpD^j9rOXkIl#`IHpMbk4J#f4p(go*P4b-9*6%N294cvYuYc$Fl{syV_3n07{X?gn z!OIOl2U?f*uf1iboTWs zfWrZgfZ@i$;c({tCy8FRVokA_jbJzJGuo}jRavfy3mrSVEW+?pq%Vnz5eQ)Y|FrO{P>u`i@+zpjV zBa8Vun4YRhHdP4DsT*8f>iU=C9+U|m;9mF=+O*rCi45lV6#oEPM?llY(1U0{Sfw$E zV+eRDJHG=iFT6bvoV+Cw=RUtF;|wOf5?K+bmiZbGh*(-~o&$TiP0^w;VAq@s``BZp z1FU#<=sjTQJ*?53f9L_B0T@4{ia_c|v+0FAHnDRKbWqTr9RCIA_;%njdN!<>M2grZxZ` z_(c087y~#w8xu-TznsuWb`21?$WYJZF}%Eyx*Q*kJ4~T-s=aJ35$)XL5Yq^su{*pT zo}1g>b&|W-rOO$15w9?H_B8nI3I?i5o{H@KbW^@KWC+cFZ*WTh@a)FrkF{BBg{rvt zM+ADXa~Abl?G~LN9rHyZ74P zoN?y>+|=pD{%BmEK_6PM%69->7fr;}a%s8-(TnC^uA2YSZ0txcE7q4_#IVY zRJ6qDb+G z%(Bye|HC08wtsCbrEIlE5QCpzQG-+#u3VHKski_i`l)Cd=%f4#XZ!=g(98f|-!b7> zgd)?%*S)*bLFg|;9A%Z4d7R7Nl3j9LNqT(0TQcXrZF;_LUoLOIo-=bVkDl%aa<_dv zU!1-lwsrX~xi6o9JELITI=`Q<7%o#v^haIFu0}L8G0)cxy*c=v_Mc|7d@op1+jPc0UD|u-FH70wwZaVEj=pQ zPqQ<0wi~@xL*k5X*g;ig8`{sQidV4~o_7k%(kLy9x8Ub@4cjY%89%W;*m_=@nSAGL zwb{D#=BZe_0E)pIrYH(`ZDprtQOPK^98at!>#$wCm!XRxHXXF!nM?4stak#D8zol% zJQUG6(QMiISb>sZ0N^)RRiOBwdJthFv!T#=*jS|HVUwP8_S*~LEsu8D2V5*X7_^^a z7J+F6>y10h^wrTd+UP{DcrAuqLbLKH%&QWy>arMk_7@wnC<$I?m?B)OO*)P61R4W2 z2ZoJg6oFr&AilJkTw{t=ol_K_I#lj^^q^aKfEJ_EpR0vGMVPNSXOK3;92$+tTtZ2c z{VOUc4{+WrSYFpoHQMg5G>@06G4!>;``O=fcxWs5d?PEzDWh?n+fp0Dtoi`%BeQf< z=w5{EheXM3xM?fn!Kx`GkM~Z{weNcX#V}p5m&8~?)AzOk`oqevys>){Uj$<3*4?6F zT=%|AMANOjfJKp6>9*dYVsHE3Vq)mF-9}?=!(wdv-9}??*WJQJUH7_G%ON-6%A=`L zVBdMns+$^}sbq=?4Lkaek=2+Q@E~ZUrg>J%ckr)>1!ECtl2kJytE5-Vvl;P-tAWlH?4FF&j36% z4tDWMP7j^Z=KrW_CTgVz2|835@b1%&-*f=@V%^GFc7R?uZ%-~K$LIaWb=$X$VLBF= z)d}ADb?`e}v?!ZqHwZ6km2LYwvsB6>7L&l>@P4s}8T*pKy(8x1&@tWs_$4$o>`#xN zs6QI}KlC;G6QEaCvq4{7%>aB>^RL~NWltD?>?Hkv`OX9O7e8yxx#-Rc_>KJ%tQK{~ z);?sGGcbB22=7$8f20TyzUgM~&@cbk2AdlLh3h)dsrGdANOiuciYy{Nz>#7yD-Uq8 z^hfn+_I1;ZMV~HmrWPo8)-9u25S;|xX37@0s>=VvxI0Hz_H^sNvF&th+crDdv2EM7 zZL`x!I<{@w>Dab)yU%&Q?|bgO=Z^au<1?z}GoQJt_Wq;R8dYoWURn4im?3tb3O65X z;NF;dk3*HxO`i!)0@DuL?KX@*!686Hr48()11p;u?`SU2>6bG!O5Q`Dlf@2Cy)Y-4 z^ZsFl9fE_w`Av;`rrF4RE?TFYn*A#S=LgTVd-|nAJgmraCiqcNpA=rtaFMQk!Vhm6 zEk}m|XPHxX#K6x2BPxZP(D_A+sB(bTAoQU=YY!hxX>i&{v%SgD@2+3#^L0X?37yf zX%!B>dwRqExo$SkV`G=4I~TK@qk4d>Ue1H}hQ{z9H9t-ng;6Y?n;eUJ;pl6F3#|yZ zZp1`>r{cY3^`5Hn@DZ`oJXPBX-(9TLdu*Hwy1wTURh!Yy+D*d9qQ>-cjtlKDdsU(n zE3{e7EhMB_^tb~5+nHEH+)1YEm+SWPXR6! z0X(XKlf9m_@dEd6v>sUU8$Mv!N2KVB#n0TEA_l}6K_n6vYdW_yL z9*N(3sXX0*&-aWcwQ|pojVW<2>f!{&o@bxPQ7UEZ>=m zl=~v9fE~G>TUqH`fxS}b5d^*&on?j zgl~WWHB!W|Y;M!lj`&h`pwnWm(QYNrBsi~5&Bsj z?^G?aVgXX=y7sTLx~9mE=H7M^oAMJfhs%ItLmE*kfT1}{ZQ%mVJ9~tkegF#Zb4EQ$ z56GI(lxf+kpQ-%JVc59U0af()I&4UF^}fgY#};wz`E5a%VAJjiHnTl6t~ecse(Pr= zL{)D8%`%KIhT3#Mxf$dl1!X-5#d6Q+qKKb;XnHKF6B_TCzm0t;oHqQQJLtEv76Y=N z;T4=gTV~e^8Eynm>~`&byevd1U&7O8%(Bgw^exw05F8wOj^$>!xbkndEA|@d75eFc zS7==we3xKC5OKATgH=5Il#kN2nAl!FS8zTST+U+*(=g+?T)A4kuUtuA4hHuK(nEb; zP2h5_FvsqQKUc^#o%>r4A_T_kj*El1H4fU+aY}#HS2r6qF5>1p~n9Ng)#ceA7vIYl- zH*eSzT_iGmFT6Tva{SCf$GGHj93pHtbH>fX4$~=8eKuq9(+Kw7Q?&qR@hvrf+2xp( zEJLVz+;YQQnmJ5&xnMro7|)Y$lxy_Z?G)CzJ$B@>nv$@%>LyG!fpRPDT+%GT+F zqT{iNA~PdKvYA!;S?Hhp3oU0mee27+Q-zaJFJ5C}*0jJ8r`urf`^+7Ti=}y5Sk>k7 z_j+i%P;PVRhT6$FAQ!BB1keQoe{MMWu#FDmQa~blLWE{XGP~k&WzYUfa;fMFpiNf zPvxldIqB*v(@o?MvNKa>cuQ}Zg#470*p?0$sFjGa z%|fo%=UGsOZYNC3f?0GXv^rS??9R|zS4Nf5%rw+(^$8?diIz(;Eazl6!h*HyO)`%i zLvYcE((DTS@>wNpd2=mZO;AZ(&*o+Cta_Kl$*&{E4m=CSCkF{xT4(0BxGf1@72XPJ z35DzVl>q`FrW?Y|?O`2Z#WM>U!tD{|r?6+h>_ov`_ORJ9IC^B?zb*6*-K+PkR4G+n z8kdDi2mEB|0W8YzKptlCn{hiSaw(rc@S}4<0Gh8(zBSB6wiwbKzM>G{i_u7s!QY8-G@ zNk1skv%qPZ6*(!N&#C6zh$+rXy^e|Vv{TKy6rY!4c@59Jm7W?C`=Mk2p^H(;oW-8W zjN{v7{UBCs(qzE?0HM0vEt|=Q!}qG2)Rn_>W?}|W-l?MM5<~tjj#ymPh1sEf(78RC zmLlSZ=h3s#ATo2E<4^SLb*FJWQ^K`x@yY2G6uxb%xqX64^1|E_hm~7mri7cA}i%<~gdUbHDBou%V3Y)~2h{t1v@+ z^aWV7DN#$WSj(xlMlkpoX4_ZOWnbJ z5uoa0Bi!v$r8GIT)qmkx-aTf;+-KGD*pHv`vTo^%CD2P(?Tfja+g*0Z+=4n_GqF{$ z%O1X-(QWb@!2$BlP1ON%Am^{j*rA`;kP6npIvvZdEUbcaG3sJRb}=gDxoeE{-M__Par^gVI?LI zSvW)<1XhqCiDVLW!B^lX9Gefn#VTZuE5$`hu@E(ZQ^=K2Mhrh?E#wZRJVb5;Eo6@= zjUl@A5dH8kl_NPd3YXCzF`EP2RpbnrucNJfPOXDD#4$BPPvqqO;+b@E`$lp_8t>+5 zdc`WYWf9$-)U$Px(KF8*RKf%!2NF_Zh9GxGnmeS<3r(AwYr#8t=l+f4HfCz{3z%7= zdHjFdh%qs78UERb>B!pQa-eixRb%ZNZ?$!;Y_tw84N{0?Y$WMJZHj6b2XF?ke-ZGo zY|v>fP1J0X8~{b&)@a757|AYR4Tmx0eYvk}{2q7je%m<&49N*} zY;?X{<#qdcJUzTVwj4To*H61ds^v`b`*KNde)%%kZRO&8 z9>#?Ue12TFw68`8;M`13Z@oYBm0AF^?c^8ZQj!Qx!j@t~vK$Rn9a2_?s9Vw`Q|wJ;@FpRwmXLIc97|G5KPfLn1pjVN_R2} zEfYn;@jGFCG{FiU^?X=h#rCOx<9hG)(Xh^h_P3zO`ae*9(NWo5Z{&8PAsC4}ZSol}B=abn)kqs#(eP4B3m`Prg}I?3slX(MG`MjGbDGZU zwvZwLLoN|1cHbAGDZ&6dQAEbuS53oJ4Z6PEc|&E*NR90r7SBV&P9KM%<2?Cy%=;^M zcOIHncCKzV0>e~NFd4>C4=q3ov&2O`JL!-bIDHJYZ*cCgO*7D*_R`fAK9|Fpv@?Dp zy1<96;pti!GbRNIALrNtxQ zE=uN`yW)S~&3BFNufhn!E2GZVTQPDqh@0r6KkK94@B7@$kjxdYTGAEJ#mZF>H9%vk zip27wB0eFXwp(})M4{Ew4W%HafEJIMFB^nj*lpIT!IkyN$8K98^aw@aWk|F(49=h5xQdb#4-r?fZn7+f<4eYBK)E}4S$yKeOio9LX{}pU z+W5{*S$mzul_#$2)9NA=L3W1li#p8O1mQ&SC>>qyodY&nSgYq2qo*H7YM4Wrnn8=6 zq0!j)O-Eqk_xt<&)Ru2a>K4G9;6aLI8M!3au}(JbNao2BFV`tt-}&+ym*=y+-zhdh z@Pp~94eLyY!l?7ixWX+b`k^YRcJqO0>5YEKb8A%niU<45=Z|@1T=CRVd&)o+f9*MT z*XtIweY+lGClyfkI;#4J8phe%W*_LX3wAaDy%d33fl8rH0H~qQ*3aSW<#zbT2t%!a zrBJ5;)Byf5I*BQO9s*lKP&=lel>_veV7kEupw~iB8&E0CDF8Eo?ED-~p*Q0L!j&Kzl?iyE+W0p4$q4AG3ba}ya!vErZgi(LO?uyHsPN!{>4i@6kE^>eE+0 zjtR87VN3|5b~#ReX- zxpHT_X%^6n!{6z&76I-z)6q6;Qg!8U3RPq)LD)Esxk0yOKQu76T6&H#&8+yep}LKi z#(H)z2Q+;}m%D|-`-_89#Lhl+il^TbDL!1|*Is8E-j#|40!Z-2wwPvNSm+QW zUENRC=hTR_#e_5$t9YAqI~ixd?EO#2D6b5hzBrJ#@aQ+UwJ6B%wGSgE(%CD;xbsS8 z{`eAy-<1%dxIbqyVzrMOOs!vMerpDUqLT;WSL8%CdK;U;$4;70Ii;HZ_~|0k&=4CD zy2SJ_LvaHG`9&&vutGi_r&Fh#Pp?7!J&iV{y@1QO?sxNrnb)rJf@69Cs-?y&E*|+Z zK4RGq6~3R1OpA>M(d^EPp-oxbFN;2iHqkCNlt19L8V%fyR?nQIOQ$VtlH1sWXG5#k zj%V4x&an#>5MamHk0PPh_n(ORpu>)dGBde$YGt10akH;k)Ap7hwu`2(j~K5D;ky^z z6^_J$FzGsu2cqU=D@EPgVQiKY*v}-jT#912=h$=1lWuLsOxN;RY^F1sj%3!IiZ8h4 zAG0mIrh@sFb@7>J3r{=DuM0^S#>z(_bd}u|YJMgkJImX|OfW!U5+F>O4+Ky9i_>H> ztliBp1i;c*NPnN}o`=*-=WT3dioOm~3^COz@dk{{m zW}%KQ9Jl-uUims5WZl~BW8;e{iE&kYU==RQ9THodS7(4&!qHhWbpw)i*5KDqM*qQT z)BqkEraS?Ii5}!ea4L9Nd&gBZEfkK!A%vDKP;u^oWS0fQWNFw7s|-Fx zkr7q3WJH4f@z>+CWUq|7Y^1O89)Sf>{(PGFXe9tS-Wrb72r?ZyO9_q?2YhrvBqJgQ z;1F+3O6r7|{wJ)Bx1}u-K}^Sf0`&ftl>7-fF(5uHb*%S=8@pOE8h3m0j7j;y8?EQq z-jDdO4>&PGi};p4IkAvdaTTu1lTYArFI3PB-{~T#=YB6-@C4uKCP={QNr(38AtI1; z-0g?1KLe-RA(`Lw`E5F{$!;-)yp3AsoN1HU@jCC(j?cf#eTu5ir*QcO^~j=_LQM%C zxGH;-*T(@K*OrHdr_XdvREon&j#i;_o40l%m~WhFYz5HdH4`Tg^xlHM#QSa^7D{a< z5NPf$#oB|sbMXxuF3&c>Vk`I1TPv@oZdhsBJJGMwX&HlPeR(jOE-UJi&2`ueax%G3%v*bJaN)|f?b(3f(YNx-oEY{fB_jV++()zO%3 z(wtg36Q_q(Kg=M$y0`3qe)FB-@tF?Z>sfV^&wK9}zYD?%9ZgkUqc;LQTS~~}sPr5+rIDl@N02SUmD8)PaV^(+zLi3Y{|cuXv1Q{V z+NmptGw&I}u)tuvzJqa(Pr%pWC#ye*lW#`=Dx*CJ0{>R;5#;kbP@bMVDB27V315Y{dmL68F8d(ckPl|j^3Fe<9H4tVm82v7^{QLJZ*w=pld;vJ9*ZIbL z0V=51q`-f5SQb*RM#1^Z@&y+f=3W?Uxgkwv{|S#I--3~EpfjafQ4-&;lr`tfrAo+x zQfMo-M_>RI+u2o#n!7E}pJID9rGXJ?D|T{rAa-Zfz~Iqz;8E!4e}K(LgUwGqH2sOK zYLTm)d6E1$BJD-}voo|VYpZ8qZANspXJGl?%nU5_{6Tb{@W{i|UB6#KFiWt*#@X2H zFTZ#7JI8uolY$ONA;*~zQjKuSIXG4A>?=06)jNA@vo~sSf1mtMDwa-uFPy;5|K)x3 zM0@BYdSLaOuxCtpM#}y#&=xZhnl+gROjBUwP@r9v{||V7Vf?R_``@stardZl%cyd% zsQe4#f4Elu13RG-dzB)46*D&tD|a&scN8NxJ;#5;-r-8yC!5GWvlLox39Kyko-}Qs%7W(2!j@Z|ki3Thszd!m zQu0}+Y_Un!#gfJxPj>xU8~;#4r3L-NMnnK~YQW_H<0TVqFY_NJG_X^du+y2ab7HY% zTg6Kt#KTVhFf>%XbVYgV^5_~LgZKRgkHl!08U&zJHgC}NFNm7!iQN2M+JMfSftO}M zDvTgBhv90jG1L>0)pO`I$M;Z?6hRxy^R3i`)bazX1i^IzVA^8QobjlRGXXPfIr&!H zvot}nYC&aNlce?7!}S>_$&)hrc|WONsIktdC6^*i)Yi%oR7_y|vr7!7<{6JH)T0g4 ztJ&6;zg<|xOo_$BmPS9$iF;Z6=xmq`0sGr_Et%_CSs!flx=+o%Mg~2gLxPI`LE=vf z3ylDg9((YLKz;=nHUda+0@T{F0h>91&Ccaz6+xHD)xdyy@F1Ww58$Ockcw$=RXf;< zO$_x;x*AIf{e!5UF(;w4^+5V&a2*QhHUNrq1jDhX`wV(2Ar0-~m1*KC+@|&8g2G$V z8lZjCT*V10#T>kywW+dctg35!%+PpkvFgL}4&Le~JjraWYHDg@p+&R^syGq%`RJTs zwdbC!4__*-c%fY=Z467<^2Wll5emr-TQ+T8zsgnHuj*k^@!jZh+A!^M%zt@@nyG5b zi(0m2XA0FRf?d6m3qOphBvr0jepL-EgNBw^s=;FMf9 z31)VeccDJab1c}o^y;s#-IU8ryia)jI!m=%-tQ+N>)!7dpY6M*RZJE`QQACU_J=SO zk{Q#TQ}JDOC#69(Wu?>YvnmjPx{Oy3sNa?7jl z_kJW^lGf$OO8S0zxQx)i3G2b)Y^u8^ZUPnK zI`7`fNH8T;n4dTH$u2b*FzP(H96qfg-XeK+3`efrq-27;;_I}bN zpJ&>$2C}5KjrsMp>$m08?bYJ$=J)h5-`pPMx9RhGJ6;kdw^=#m#O zKxuvN8s)TqO->8MNedL0hmKf4c|XkbmLaXr-OcXeJS>)Dj0r|$?`$87{l)hcQC1D= zOYw#Q@4da?9d=)@4v&Y*oX5n;&u z1=%gPBoE^1SpOsIdNzGnAf3SP$E36R2VJ1I5*lj$hd$Hssc3B(WaSLzb z%jFFJBT9wK+a>Gzn${~9uf77Vs*6|no})HJSVGL&ge!qu^1Cfw^AQ^O`Hu>Dl?$Sn z@rs;tiV@!vvoGjx@E@N({RMT!)KC4a^ki;{0@$N|g+f0(W|#`E|2$ra;=Ui^!QmT; zuJt*5_r1j85O6F@h6+-_BuREK0W!9?G^@dq&^CTLT7IehFnLTFs8+_qaoB?i-{^dZ z5U6e#lzL@tRohW{H9he85EKx%)ie!)Usj*gQpiMmlh$tUS3 zCV?w;!p1YW3pySFpCPI~i3d)h_#v_casuU#;3FG`KuLm)*Y+ahd|!OE7S5zK$B~{{ z7g!u#okfgLDxsk?FidM^7b%IqaGx2=N?(~%(~!MSV&jWM5D`3lpBZPNtyzCt`(fa* z2}?-YO->s3-5Nufy{6+Oz+1FO!edebJ8d=EJK5#rXfFnlAxW{OEGA4H4x1`&NCGe} zYX0lHu>k$Dy2VZ055pcF$w*^8l~EBHZ-Z5T`^3Z=zW4%?#NfShMUU{>SV!XZAP3>i z+OD#+Fsvm?iZ(tZ3TQqal^yN@e{rsebx;T90;cdXPdr1IAK670Ow$ooj2v8jQYyU& zOdbk=;$a+;?0h$Cl>r1M?+_D~J}JQMV~s-{2VVjav>tk$$b?>UQXL-_g*j3+wQ(3$ zFCj%-S_r39(HCYJwQG27lw%za76m2Xbas=G@k*Tw`tO7Z0R7U_6{1jvu?XHxtZmYy zS5jq{b0knTb=7V;$HMjgfze(R(ObZO7154K{l+H;JhG!QL*SG#yM}*{R7`AwEFdjt zmQoo+xS>~&F1|1*G`2e=6tgakTp=4&_A}wh_tO88~ z8Us@TM?t3=vN~#1@|?WEx9IJfsJK|eJX0wsC6!9(#S2&T`x1_c;P>!4KF-9&)Q4lc zxo0a^m+xTow7J~vg_zsdzN?u)tB!Ndg!W8>vrxfTVBck6;6>ov9QXsr{Zybs`*BBl zYkrHf(1wWeSJ&68eY4MJ6LO1-F&_VM!~m!{WX?yL4F{#q^#|eg53Fkwp=f>?lEr*i zz2oXzet zjV*>@3BAvHrv^>kv4%vb;dwsp!5K=+#?QvKZzw!!M=^NGrQSL)&2NpWY9fY5ryaHZ zbt*b2%{qSnP4Adm>Uo0B;{OYKb*vMFQ+x1`F*s!I(&T$_xHz@=gd=hU+?T4Zuxd~e7p>H zKe)4Q`rhsJJgnR4;CELoXK`1^k=gWPPj~A@gZ+7!ntZ&>e|&u5$H@E2O lx#i)M za(N$3^(*@A6ej!}ETtT^b)4uS%y3d_$JLpk(#$j$S=aOXdYo?W{`}lCSQjnumTYJD zd_nBAxkqWg16|N-F^4g?BzYT??45A@`UY(WH98D63JP^I*EBYBr$lFpUpUNrF8Z58 zl$N>`zFa4C)ZF_<^V#O*M|0z|s-~`{+6AoDH>_2fsxfS632f*b_z&EswTupwZg4J7 zSi`CA&gLFHp7yT2EG_kO!Kp4@?~@MjVY>p{&Je?{kOeo`-h0>Q_r3MJY3L;%`}X(O zcMCgLAMvUuyP2anjLsdpvmA_Z#b0qW8Q^Di7p)E(*CIw}PM3<~G#9ruEvJtRIX14X zcE85;yyn+$8f8E=pj6JcS2y0j)fm6%MgVD@tH;<&(Jgmazt3wiXDvS?xSD6a7K9PC z8|tZRk&uiS`l%?=UQikem+(-+l7-L=W2Zxb$gs%MpX64r_(4Bh5aq$9A5y~ z-rm(d+_l30uF1CE*?HDba0!e0|NO#__ zQtJS+Vm(ampVQ;&hi&bI3A0iJZ+%^ia2`YQ7}J`F&CSKLP~}8AF28)B8{dA8u@l=t zZ^nZUKO>1DGYtj@frG;7;0pAe9VP>ay}maEXqgAGmscN!;*GdxlSqs6F}s(o z1WHu~0AyuR)OoYX1@h=>4@MEY-N6NFU2F6mNF7)mdO<$noz6FG~G=&I>WFsot<1iFmTR6Em9vl%odjTEZle>G<}LdcvowMdQ;Rv z1aM|iFlNy@L@YC)oT!5pLSd*LOMyp1(iY!yUqQb*kzU-mQC4b##~-%_1GGV_&0(N& zh4lgvQ=g>tS7(fQIH|RRzH7{@1)^O@hP3EJ`rEV*5!UfpBYb9#(;6B6v*W8c@5Y#d z9e6Otn2jPN3f8#5LGUC#K%R)$8c+1djEcI=Ac;$tNw`qXe$n7Ul z<(UUEB%WmL0zj9lsMQ+|Llo=9#%sRwhlC?1L}P(^bpm<$l+(17%hBe`(e`)~4fGQB zgb)gvVdS&G&SVU3{>1P~(~QV26$L00Q&1@|J4^XD0piV|bAB(U|LtUh&DAW1R^|ITdJ^HqyQ-2o83dpxs{}){F z21Vc)WkDSD8FBD%B4c_GZ!?dlBiA=@;^NqLAi- zMNEUq5Yze>Q-i^3wjwRgCP2#z2GR)D&7(mkSgIqqmVVgQf0)wQv>R*P zx~?nElady4CW?)8Bx1`*A4dH`szI?Q-4-1%a6E)Mw0RK?Bu!#5yVXZS%EkW8+`6}E zx7zCWmXeo{;B;c#iNyMIW! z#h|UWGV__+n;RltAb}HSI zp!^c+e}VdNqz3{#Q3&Nq$G_6(PNdm^M5h~$!5|uiSvUwgyQ|e^q%SN=S@S&!TNiJj zpI+zc6J-(~DB!=S^#U5W1V-BZKW6(M{%8C@X@?X%|M$56Ey(_l0mt{ppbf9qZOY^W zvU~z6-2lyZMf6|${}d?y@ZVWc;ynpUi@y-l{|mx@ftY`$$YT`-F6oLMNkd}Vf??HA`qGy|NY@dj%*yx@PGZaI~XumS^lcU zQRzKOC0G?G$T>wVuU`$n`tn7j##6r(nRMcj7YmN1?Jwro*3RE{TGdtG^&{c)==P-r8cv-*_^i zYuGz$f|6K|pWGVze4JM~rItsmzJHA`rgPCwfS(NJ?C9}W#^52NU*5~K`aX$#Jm_!DtmAs-9-ECi>w5H%z2E7? zTz9OnTwNCBTC*sodwaT;nC3D%9!w@DrQ57%Jd1x%Hmy}>v2F3ALr2!R)n%hfTHBV# ztD`Njl8qofMu;N}{aA9WFO=`&u&})=wGrOxEVL0C$29dG?+(Vb{j5&Q&7Vl)x>JR# zVEm3c)+xc|Be-oFI9Jpu8BiK_5bQ~aug_ILbXxA)%5v66ccPUV*kzK%bP#Tx+Wa>7 z)7!m$xhQZQEJzs~areX#vX$Nw{nC2e6m$cil17JcF3jaCpG%Aj%b$wS^3u^Jiwx?a zm&ACv9bXCg^B7VrL8prYrmh`_-BB>W8kP3AN*Lj{Co^N0Y?@7vJ{GpLyZT$^-7Q#?XDcqOt=YzjyS5mHM!8@Up6=a) ze?-A~rob()NT)xQi;kG$l32N~OhtLhHzt~MtJ6`~5tuNiuFuy=DBpo;j@6nX^6E@g zw0kzt{fBLAy|JVn>ohqJYFX;E{Sq>@dn3DM-18;LVAO-0sp0vZ@qWu+Bzj5`n+U0X zaToXTHSvh6Jme%@<#>sV70Va7^uT+UugZ&Ca^(= zJCB(X8{c5W!k?eNrjLA~bZ;vSEyLKVEb`4EbFMBzz7KC))!8Gk)1v7wpm`4!k_&Cs zVWtPv(zWyC=;}P4+B~~|?0F1t!a?WdC7)l4aHhw#cG~l&KD1Ne2H20aS)+~-$hGSv zmyIj!lnygZw!E|mrCnr{ssQ!DD+77rjXQ0=@7lI0Li{B3a%&Q+whq-D1i7cinTg3i z6Q6PEr#(HgW`%W8s+CEzA?!ImNk9KiY612$3PJmznCP3rc;VX^X19kjjnAxfUSW!Y zTE(XGV5Dem+a;IvSs4$pwh$|3gmp-!ir^}DOO-aw!r4Gq*NZc7D}d~%!lbelMO{PDL+3foL%lC^A?7-uPx`N9N?NOAFvRg>*= zVQ}gU{~r~Cd9YAIl^`MHFBn%vc;v^aV4N!#!TsR?+hRqDV8{dxj!BieliU% zGR~)sR{Ajwvu7`kVjYYESEVx`dcqvz^>7QM+as^EedKX@^_CskCfZiuy_rOOW@9X7 zYwkFrbs*}YVjYMzL)kJkjHIOZ<^KHsdCwF5V{Q#*tzolsp<%VEkZ{&^1f(H zt}7vMC+-m3xny`(QL%0c!`)gy^3#)|Vo~RiG^0ByKvx^20Ct^0rR7Rcg=hn65fTDJh{Q-k{tsgY9LycjrVg*x4&M*#4AKj+t3W=LQixI&LZmDyen- z@0-PesWSL7THX{1rEi50Pq zW@?MuYE8S<6BJ1O$O9pX4IAZL-%8&*(R_=qL{e0QBTRd&NjJKIRqJiGKf@p0dY&6} z`tgy(f9@|CpB7g9OtlHIk}Yuiz1aY4011VdxN76sv3~E~%x35zzfpYL2Zn?4Ah~Uh z_pDWU+QvOxJdQ!QF6Ci`X5*RENOwXm`Q3IkQm!6MW=2*N(LB&hgMWz7PQQzY^pf%cG6Lsz+fHJ-Z5YYCrAw+7PXyrhKJ_% zFfO77$u6BhJfo^S< z>O}FPJw-_G#bd1D(bsj@_VQO4TQ1aL#PFNIprM2^3riGbLvXZX_@ zrol@=3<>VV=v6lf(x7AAm(7Af<_OJ=z}1l3;Y@=?n}I;s8()V3YcSduL416xu2(Wk zU^5x~^@5hl__N-?FM41^9s~upv3OV*8;(_SATS`>i&*Uj?6`v@eOXBH5JPavJFAir znIpxRb!-F)veIinO*vbRh{I~zRRknc*q*oYbg9Q#{4`j;WIq&y84`V@3CNZ*7#Bq4 zgEol6rXxiDDANng-yBU)1j3laXvq-q+j*fuE;77_DGL1L{Ax;fc z#0W~Hdmm-?2wWYdQx2x_01A^Kq12D`O&!P#7d;l{Cy6tlTXg9Yhg5L5c&MP?)or#y z8~(I4`C`rfU>O(wuw2NNIbf!{ws(T0c*-o!0?Zr4{wJPvXG6w!>|D&6(m`6!k&*XQ z4L*2vz5@`l$OUJL)_tDFWpKHa#^yp0^LD%_KLj)BlYud(Gx2(ishJnc@YF8&%qQbj zfcmE!z9m+#Napo@sG0jK6nK-Y?!gqo*$X%`B5-8lZjg2!umX<>lRVLqa3+BbIU%Yw z*x@jLq+%r5MuF6b9a?^sj9?wp;4d6)3%O9wq?^nJkEsnHvBn!q3#Q6MOL;`y93(opIFl6fo=soiQCT5J(+Q? zu4kSMcvpe4_?UeHucv07>B_mHp>ZNMq`@y#6q@}AgR11(8_GiL9Khv=x#kOaYW%5? z3`qJCppvrn%0;mXzo9La3?3X8BEzv)f`uXnR7dux0rO|uEZc&Sm=s;>7x|tbRqr8r z9{=Uf(vX90%cD4#3ebm&F)o68NHLi+w#)xX0k2-Ff`pdHLF@ z^|d6Ek5hoW0}v#sDp8k8k9ALkm1I8_q1GFV1z*p@2b8E0%xS$nKMyzF#jdg6`AGHOv+y;#A2iheH(+6>zZ8hvMNN`y31N-` zKU2HP+czsR;Ra#84L1X|%Bw3XEaV*SJ9UU$fMJRJ)3`!RSp$P%s z0Jrv4^5TKHW9P3o2vjzBk0CX$MJjt`#^|G4C7YGzWlUNkixU6@;ZBgzWI3;%LOvUT zi+ky6>=>P-Iqh#hJ6>ExQqDVC2)&?%nr|K9pTY~Gcnby7ICgib$ikU#idCv@I&Tui zw4H4N)!{@*kl{TyULC9~Ss1v5lZMqB+#m_1MUu}E<^N6(Qgy~@_dHBgbp|z(MSN@$ z?JrJ0^#hNOIg<%_U^u)c!QvO7s}+S-7@RVE2}+F>smfoy7MyXvG2Y?^d>c_S5S1)u z^el%BhGTrTbu%m!%8Zf3UXUGjt9|_~+2k+^I9`e$Abj)oF|DQ^-w97pU5!`}NPi_D z;L50@>zzK=qYTCSQ#@3h15Yvce)AXDiW|_k&J%bUv8yb_!wCi{xH(`8aio0XHl<(Z zvNfq+Nyqw|EQ_=6O^A;1UVBK@(^nwTlAP2}~*JbzJ)vqdAfyhqLR zVqy3Vw(E(kQ|0^;e-(kXxS=f_tgp$}Gz*IK$Ls-4QU(LfmJ-b!-984>{Qi(7NKQ)& z5oxo26BYD4DD=L|=<9&d-GcugIK%aD2N)1`F(LmcA@p@X`d6Ai#`6vef+Vp)oz$Wh zYTi&mE=r7#4&$Lg9n7M(v}CX_7bD73)0#45TeRi45BuFxv6Tw1h+eSkXF%~hLZWDA zopVGGPvgLF_sF++@Ybl)HrkB%WRiIBt?!4;XpFj5iL=fKxA@4$$hWh!eGeqv+T~Wc zTd8qzet5sC`CziEorv-EeA1aH0ts=OuYhmbRZm?%3DQ!W-!6>Kqte{;{8oO5CcExy zFO%i;wF<0#xxXA>YB})r_MgomR*vtr>u4o4RgI!y*pEXlgXQ~pV(H4khGE>Ftq-F6 zWW#^DNV$atb$9VD3tV<*Z+=|&Z*AqwWnreC&%cPJd%Xc8_>BEn&%EKXaoSufnD$_u zMdd%b$>i8^8Z$LKpC)kPFsZ*Jw^`aKnrzEz&~Qs)KeL@O?aZswxT3IGdXvg}@SXc3 z8#JySCchQdg$Fy6qP)h+xQjRv_3aV$lZkJ-I&f@$rQDPMnpiG#x;HyI4$C&m-o@P` zJ;I{k8s;435-;uh0teExnE2ne{7g)Y2JUMcIW~6doG8OvS0#+Erb%wuJ3*yg-{)PC z^CdAi9&H2i&5V_t%;PXw68C=ZC)Rb?~dC= zsnlm-8nr7pW$82cJ5eg04^JyltRQA`r9wacIO~RfL{-x$k)l3hRWQjRFRPdrO>xOA ztpmKIxP-QYUsau69F4cG)^~1hbk!AdC6=A$mwuL&QJO342+OZnN*Pd4oj;+m^FgXr z{-9^-_*e&sT@Fd>*f#55^SgUF*Q-y*szzmvGel{0cB?Gb9MzjmuEQ=64)oCR zzT$fWHL`b{GcgWRdl44p2v)Z-QT~u!39@wJc*Ya`eZ397?S{L(bk+fwNqsd|v*}l7 zNy8--blIsikhK;sbL3kk1YUEIxU1A7Q;cC6>v>|XZkS#2c=w#Zz?f(;j=%Fa$JR-76iCB@e!#gv*{i`G_?3a<) z_tr8NNcd@Beh9s~x-jlfqGQQ22Kus%x!1&kS2YJKu;j>@x=1W;G$`r<{C#KKFpyz3 zk>ck=yn#`p@wegtVfdUt`FNW02RLd4n-g0b3qzZjHr_&4SWlbASf}%< z7`<7qa;uKwr^bZv$WTS)*cmMaI_6A2f=fg;rfdYw#og@DlW`d8U{MzU}*1KJvA7IJWL(<_1;tc~)aaw~gW*l%8y*#mafSn}+Yu z8Zjd-V#_XKFv}XgUM>T0io4u&jyYFtQ{T1Krc(tHgvD9g0->_iE#dAZ;PUJqpiz74 z&h8RnfmP+Y&=sz;ICvuV_l>ojOt`SiVy6{qd&{4AtB^VEo@5q1eGcnD^zK}^e@MyH-49=Q68Nlil@xRBulJ43D?D~S>-lFZinObwwM)qLbnM|yAKSsP{a9i3~) zcflrjT{d9u=($P{YAP@3RWY0$ zc+uvS$L*vo9K1FC?yw=ez{Hf!M%*1~ZRatpNq#m&(76+`?jp~)5<4_n_I^PNqM|y) zB))T5_NL?5Qk-xjzLQ<{CJ}G}ha2=&kYH@qd9wj|Lm%`dsoQe7Ai!G}%?=l1(uPkXZ&Ny|FQJI zm=Qz}2MCF7`o}=T0^kN`jF`}%(c)i;v11CtjIRGXj4zNdrbMMAd=O(MH;NlAMWM{U z7h@(hLL7yH29s|i-f?dcAjBtQj-7s1@cdI=3%P%zatsBW94k3wbbjOREyf`X^phZQ z2g{2SUvD=WN$@1pm~{w0W_bCX7);)i2s^qKa_`2Z5(>DeH$z4+Zg9_vkCXrG`&gJU zh$kh!9%sa#OG1uGbvHMryQ@;q#CS$43v%~2Uou%IJCz(+W;^c|kCmU+!=rR1wCiZ3 zgC=OQPA+wj36T|;a_NQD8?&UYbdV{L0~Q%nz>HQ6)PgUgW>Anlt4@eJXk4vgV`AiL>Q{&!X&6kgNVUHNwbZdZI+g3SWt0SOrj9m^-b+)RwQO zX%#}SY{@?GKLtg&7*4*)N45d$2ceXfx_x|$zGZQfr z@kV5>{j9ZfS5;<2)f)vel%H@+wTF4n!&8JG4w?`ga0Be3b7Uyy zMx4PXXR9%nJ7G)f$Nyp&hnlYOO_b+%G2IAgYGKIXB4Xl{6ZNy4!7EBpjfBxVjne!abGOvqJ&w-84Z29&C1Ox zjYgS&+auh#3 zswo(d3f(IRHpl|1DI0(Z+nWbAtPHBD8h{Cxy*^|_hZQgcY17u*R)st46c`F=Qx^bF zXGn+Xu^$v32pSBFEdz;(UKHz9SwnrQcK?d zn9&P9O&LWF1_!+YX7q}`4LV=&1%_^3n=mG~>)X|jFbl$3S!+ioKmCiXFOo4?!+Cwv z%l?~LM+Z8KvP|r`mdUbmiRYp!H-0|#maq5J!0G!Kqr>%IRS&Qn@v9Nne}<(p&$iBW zmxnot?_=*BTTCn|i#F2j6)*6n@OOqeu^*uhyP4UxSD3d2!L~iB?Ok^8=`}nj0O-FF!w>K$T;t%f z_YbD1ExH~5D&P&=$)P-!NX|I$PI9NN?k8jywoL&8oqfOnE63hRc1Ol-zEdSGmEH+w zIV=te)b2>6vwR!+;YWmqfB>T)c$&aqbWV2?m?O%rZ(229&j~!2Ry~A;QQ-f`V)mPSrQKq9u1>y~T9r~k++OLah13LuC8S}}W zNJ3ypThXKFS>rgZ(Rq}aznuj$or6nlab8R2&oY(3d^dMOE^*pHgJwuql12MHHAyTx zl_yK=(OIfajovU%4?j<@N{#Lez~;0TsQc`P8TN!J3_G;(BeN)7z`2#xHG&$#xfEO~ z=wC=ZX&v6v4q4^4zJVUTq3WwU`5whW7I~9o*B@QD0bSZLxfnr5vh>fFU}cnUMc#Iz zG=^XprSDb{YE+PNKqwWk0bK(9ol+Z{Lc745CYEo)h%KZ=VLn1H&5#z6wdyOU5zBAn z&!H)`d1MHApZhZ5`RBITw9n@#9Zw-F z&L5hi%&n`vOQ&*a`+H9}_lN8I(Vy4F*Spm$jl005Xn|jB6PkyF8(XjQuiWk0x(3sy zv__W<%ekCH%i9;24Y~S1d%WD;+UXr`ADn>fE0ymnHg4~yZ&?inTLS5e`1W@%hl|Gd z192CtI_U(y+SQmEXNTvLf6l`n1pGbxW#<)fH!ML$Hbo5IMUG#?nVyi0ZixC11w<1+ zkoiAI#$Urh*!!3%BJ9(NdyRsi!(oK+DIy%xiARlssKR0T@vldoW)H1gpUz1fy`!z} zE1#W>ayT3C?mc>gguve`pQB&ytUPZdQf_^_yYyf0m*09)bKe`RSNzX-ukO#}%vKwo z{c{K|XKz|gtt=+);O;Z{#kQD)dV;)8pD#B~iu@Z=;~nVb#v6zU3RT)pu58nEc8ma8P>MxR?ul`5!B2s9*Z1Qkw4#HjLLI=r^}mJO`!UB;;rcbd_`7tx&Ypi}CjJ&MM-w;y7O%5<2k{rA zAJ*;-D_}}+5U_MVjyHux5MqLlAN4sg=dSCDEV?b!M zsI6w*`8se*dp8aKDZNR{ibgs;qp-s~J3D;ya-J1_6T9hIp4e7TtFXTVOy{QFbSz#^ zICZ^xJNoxe7f_z+(e>Sd6%qOEi!l`4~xN z=Lpws9$Hilj?DxNEq|}*$#FiiF;LRGj8u#JUGtIBq;egoJY$ z&?4v`frb&koi9l=SR}BJek&yVED|gA9|0aacK&lhwNQCrvkuxg+ZWpTFqZTD@xj{JJ&VFcr;P54 zkdi1Vcs$z74}g4X#Y{Nto9OxNo%PFe6SpUGpYD_RckEa+sQqZPshNz42~uP&S@q<} z>FC$<#V?z`;?LA1Sb{!4=l*DF{P#N~W*i=Cn|gZo8{()w(>0pMyQF*s9e`*&d_*Q2 zN@T)YMi@3b5rk9()5Sz9FnU0!eVe-`h~(i$#n z47##)x3&bP{C_f1kju4Ma9F*zb{ZYyffMIj@$Qz*2G&U$4^s{gVfcS zBnf9w+%&@}F3h)Fo&KrVqO@uHlSA>jiTBr0g0oP6VXs-qm8T6`jVncpT(;L~BV3~_gvZK1W+re~Lf9;B!ir-KsFize%eW1+8 zjQw%H!LlYUd^R1omdMAKZ_}LkJ4c6MUD9ltKH2tbJs@?2V{Ya8PZ>e^AlkSQ9`&E( zX+ta`q^q=$)MG&O>d1P>Q=sVCK2(-~0Ha@8yk`W%kJ148a0h`6|Ex_P{XJc?9Q*Az zlbag>js3Tt>6V8Zq-{3uw@we;@X@=#_0tD32_9iDi|d>3joz8I)4hl11=X8w`SKOkEu*=UUo_5URv)P1O~Q%Y5XEJ^UOkI-XMMg0 zS9fBucVZ%EXJ(86#%{*SFN~BYcV9bmLYKeo-syYzBI^@{E>rH_6<1A(Etz5Nczh&^aw!Jo^)Ei{=nTW5Cx)?A&duJq{6U_|2s z@Z`K;RZR_`SoV1h$0B-p>7i)tAYCUSZyW%-V4hBpZj{I-19)c_@Ud(ZPD~pI-XHG} z`gThQd*3?5lWddW{{p>WKJs?4h)20#KJ>n|h=;kT`~84DLnzZaV%a?sanB{@{hLw3 zg}pyI@dkCIY>=7Gk(YSyU&m}Bm9Q@uM2nq++^T!nsa#Vs*&^g|Mv?pfuM4fOd&Dk| zz19PV>{I!;0K-^k&sgWOVQ$TRUHyIhUyg`NTxq%DND5^9@t(0a{{Y^E>?fR%0lJHr7xLmn=cVJw_y zEL_P0Sza6a&fkGUUph-cU?6!CU(i09un5&ZjxG7obAFrZ%Rp*f+ zfLSv$LQV6i+35t{5OIWum^;!rm>Bi914`AS~ zL+(8Kop_Ddb84{_G@~i$hS1da#$bUVt{(j?yjmDJmCy?6!IZRp$!a@euvemY?mDXy z@ZP@E#}p4TCXZ|%jZM(nVODjo_9uGa3g?0413whc{Vs&OjA z=8KUWQ$ZYRV+RY{C`ItVDz#w_=Ot*PC&dXXS3{CPZfnEow_tW!(tCUhohd+eL0_;o zg7|xevgLkgbmeH_IVHs!NW9ScCQRu~Z7MnI{6-aMdRQR|p)O4EJY*g8g18_r`WQiy zCaSLlkayZlN-K7InBIt4EfgxuwOM+gDH2H(}Z7(k^QL$4aTnkVs9?sjJC)jGR184DGS=v;5fss z^%>5}LG(IqillZ91dWC-aV^D$DsX>hM#b?o&qoP;6@a@9NA4KW1{gnm-EXFxb2SXi zOW%&8RkK10aun~2=Roo;$QwU+2BGqYHAu%{Jkdzj!)9k6n%H4H!Sm->P2nV)1K=Uy=d=WQ&?Lcxs-;Dsz~JId81;ApA(^D$lZS&&Aegk^ zlShJ1jM9~uPk5#ITl;Y!xDFdG#3yxfP`p6kReF(vZj}=5>$uGg?d$3wU#x?Iqf=p5OawBkU z1(|?ysRx)KaJ4`JAa*1{Cg1a=y*thOz)%@sn#`TZ~w{H*SOzAa2 z;8h>Hp5l<)!z%(J%smZnaebWlcey|s|k(aCxDOmQj4=Mk?F4V!MQ1?o&sYglat`Maqy zpe+@HX}KQkNL#&Ecj7-#?Een_56Oc6K&4Me^LMf=pbhiCplWaDl7Ig4tGnY1mp||w z_%`mO{w?|i>FZR3eIrNSoBfskK4|E|M;3k4!m@Y#mzl3ndSyb<&cSM&(Tlomi>6in z$+8RWeO^gR0toVoFC8Xda+fzL`41>71@`%eWxP8@oSmen(h5N?at9hMB9V`j{(BV83v*0=tKyQc}b5_?@pCA zenCcJ&4E~xu>qm!vKcT$kR2w>ov^$_Fec@tZm2&aU_OeCeR{u>1JFQLVj&-iEsJK@ zj_(kxdkeKTgyu)BLCKhTQ%7cn7N8#Zy@g2)cnA9Ta4<2aYim8iLWfxC=yHsVfbek9 z=9-%SMvWd}#s5OwTK=JBOPZc5ULAfNB?S(0p!R;JkOJ!R&07=O#tOoq^TtH90y_4$ z_H}9fF?!LymAbKrV{1Fyf*m%hpK34`DRO;>MCfHo{!`({Az7#FWA8KgVw{zKtDZ-Y zZWX#WtK@sABv%&h!hNelRpu{4D0N>mKG}m1LhXJ_Emj$vqju_lIP((e_1x_U>Z0?> z++|X4pZ)?0P$oots{6WoZ8)&Ps$MFvA*kD|3ylXY%#Oz|fv0T}I?#va`AaQ4SwueU ziTuPO$$>eEsZ|RX$%Z4^CV=p8(ZtrCuvsVD3tYa)iHS|%@Kw0z>TUZs0(0EEScJ zUs8vQrq@>Wp6sr_Gga;6C|W48bW}#Fr4E-(udVB!*j?eXRO93*MkumOoJZ=k4p%4! znxEL+Uo+MGZ0oJ}-&`KTv=5gk8k#-X-8(bY^lj^{vQ(T$%C!y`C<>dO*xY?G)%b1e zEwf~tM~bx$=O~h!J=xrOGhN*mN_4pKLgPdlrt;;sz8B5_&DEb8#&QlXd|s~1?g78- zj9NUq83eiBH5+~X7MvOa{ccgZL;c_K!%17R%PCiU;{p+6v?!JN){RSCL2TfoH-^qawNWlTYVFjuG z4ziI9TFU}XYyu^Cy52DGl{_%K zu)g8C-eK^SGBCXGzOcI967ZEGu&l7Y=epk1FSW(6Hr((_v5;lr+{E5$(_V#NdjH^H3HV(H72D#^CCU^RN~gaTd;Q#NfJ#^9U3g zi4@Ml$Ku9`^T-q$DHP7~#^RQU^Jo+r=@ib|#Nu{~^OzJGnHSDx$2KqB`@{TNivI6+ zf4I#&))s#n*sqIY4sE|sLkO(ex4XY5oa)q0GQdM*a7fib##HXCS)Emx;MKPX)vjE2 zCrPgTphB45_(s<2Yk`sWn@=L!+iw6zD|>rGPx0;Pz{ctM@+GTd=*~SE;4e>fk)|*) zWS>k#QOfBauaM@h5q(EQRDCotbm{yHU~c|``1u?E@%qfTjVJc^>V5gdcC-9R*F_g^ zmkzI-d|GtJ1Y`8rfDcbkTh_b{Kewg6!HjH)J4bbz^U2{!w0=(1ZS{MUQr8bT#=F}j zS;pA2pWo*v_`2xkCknmHR}V6Cbjgyf#SfQO57u&YX_Kub4%Jr=+H-U%lh4EtpKY9c z6{^$5?h2jsINH<{?8uXKV#k|S50?1aSn7dXtM!4g-i9VPD<1eZtF7O?bXi%daRRJY z5AJKfn`*M|YfcC@Rg4{&7GJ%b0KzMj}R zQ6q~S6S*1svhfn>P{#^MJyS)BEJbk>C0QBjr%2{FNUJ1^2(Yvckxy_F<-g9wM&ELa zK8qJ&Mc(d{>LDhok=3I{Rt}4;;gg<;o6@6d#YeMoi%#JtvL=qMwn|Q+9??5V4&&p7 zBLV{DB?w5|hT8_TT1DtRB*h7FC6LU7kedFG;P_Z%^Zy9tU)T#bea&|SQNjdKk|9na zi5SKa)xs8~50Mln!5u+1>qBnBC&h`d$QJz(D!Nb*VMT)tt3O1v>P$(DXQf$ zNFT2`OhyPmG22CH;WfsI_sEv`0pKs|KtbJtiM^qMi)$T1nQ$1~=NcC#v$Gsz!3A=~ z*7ru}VAsKm^CA|~(e0&*(V3vcH&DSvwThukC=TvZjSG|7Ne!}~0=XjV10r;g>)=J< zH?YmLGA+4HP4QVk!s|zEm`zQwS@yBVg-Gl)M4xU>UDuMRT4+6a+kY%eAqIn9bz-vj zWH@hJYFno^@!4TLc(}M;ig8lAE^E20tG9%@Dx|bm(6#GTtoOA~t_I$bD7H)&thcmx zx%mvV>tSxHRdme7*=x2;7IfITF0TO*&N#GOrnNU&&mSqoL!B{q&r!+ZYnbB@9d&sQ z6Xk!p%*8qBKC{K&#$^qz1tQ9n@*Wf+{xn@M*({v9=}qSGN>Yn=)$}6tQ~sjpbXtcf zGaamWiY=|rw`6qUNhM7nUOpG+no}@$6Yzs4Nx6#Pto4?ct{Aa|Z9dLb*NZUINkS#Z zYJ`V@4@s`AkUH6`vXB$&M|jDr57w`4gwSF@AhkP_*z9rN@hoP(Igcoi&{#a}&s=emLK13|6?51XKRKEyZ zFXWAOCJ>8#&iV9wDJTgpQ`%1IA9rTD9a;b2U2`Ky=Wp?s*MZy0p7VQkxp@Zjh2tm0 zb;HKOJ*QY-pQ*OwB%Fa6LISjho)3$r4xJB&oMbSy!i*ahOnvXam(|=DWDAa@$%0vC z-E~0wb$7WGGX1^(LDs`n;cJG&s;nv>T1q=*lI)5N#c58Y_9mJXg)9eJLr!EyJ7q8V z%o3!z^KA9cmd31K>!g!k4sYtjY?a-`7e=039ORulzxV>c`R=3?D(xsT?8Bc*e4N2g z6%a>{U#2Oax9|;uyKm$u%LHFJW(g+CvGyQA2b4`bYZ&zsWsO&@bdRB`iO* zjB>7RKOJ!|}1_j$K%qMqleGs|Cej_?Qo zPe%qDx&A=a&25%#%+J={!*5Tx$=yA+1@>8U+c;R?o7KPH%CNF*8~OvXsvZ5?ho6V= zGi+*L(lK+pFRHHK3<+WTF!djErBmqzc?CR3%qV}Cwt^;Fk4!Z899;S zCnrknk)p>0wz~aac3AIW4D-G-rJwNW}J8t50a}I4z>HIr7wPm~4ow#8t zpnAHs7^-vZE-oo{0SW@|uP1bJ9l)l`k&#vT$oYxG>+5xA(JwjK5Zz@x+p^%x6Gp+$ z-V+~fnNR}!^!68ix!FE%`|obRGk?MlSLe5FTdfZrz}wq$M@n__-3K@Kx1FP!lj`7$ z&fUn4xFG8H5=foy5L$!&G)AQqMkOppU~9WsmO(Y_YZH~dxqA2Ey8egP=H`>Fev`Xj zP0hBY_WLhnf_x~V{9%;-#JGewmk^I4vI8=;5sFtY7M73pPET!J1AaYu`R0!epNZ>V zwg^4LbjPyYtAlG<%XpS|C}H}Za}fN(Y&R$c8S%)IJ|PxIWTLD@B$-dpv%e+MJFZcl zv*Tv~N6@}@aWjCh_}G2_>amxBu)%3YMr|m2vd7oA3gW9N8xvPRqpq%gi*xK&E`!I? z6kAEn%n>&NdzxN)dBxb+sR7moLfkSJ&s5v(x|>~;M(q%7>mG&=5LOKc>k5Qz*u!XE zW*GmGcTGOt!k%(zO|!kC+TBp@W3BSERdPKkci53N;m(v;nz$gsux**ymU=+J{T^Q}u9)`Ka5RNwUR-6TA|Kunz!h~%PJB}+( z0>2Pt#9J8gd{@8&V&m~S4w;QqVG02ST2rq#@LKZh0VZyb?Md%nKjz54h^1mh> zdH1kmxx&a8w(u?Nd#jB0P|Rl{SRDp4JO**t_TcdyDF_{*V!6O2vT@p?uFM8&OnCR; zaUG%JxX|Lcg2l4~$)*O$rt(nCcOqDU5v&%2I9z+O|Eq<8Uv)MS7D*K^o-0~BJCtl{ zm~5&5#e6rS0T|I>F{s70XT!TkhwF$L$MucHZYMA}KHFnZi*1h%-;smR5i^zxP9i&2 zB3q1XsvpICAH{q&qQPNs^&bl`FgOm+W2Mz&keh7}AK#II&=E0~>x)Eov_!TD*;F42 zU=IZ_6XEPI*!GXb&a|js1cRso7~yO&$j!B<%e#k<>xdY~g%!^gE}k7sHZ?>xm5&10 ziHJ53zoqHNnjImVDntS7M%(}+ZY%~px%PZ`_jqv~3FEkk;<@6*v%|@z{x{33q?(Xj2&aP9r_7*w+uRC5>{l0e|G*kMK^VmXcw`XU?A{S(xO z6TI)R1n7lG&lO4=$8~EVwm~*Rt8O6{Mw{s|gj;2?6UCwL7^dFnFhqq0J|ME#hvC~v zT8P1?TNm|tvkk#kYmU%rHyR^oWDn8y!+=QW`Y7<`y~KcSp&K;wSsbsWjNlo#!O>Y zl6fU|3kxu0UYYy_rDNl#Rs?NXHNm=F^01rjR?02aS1Woc`v>y2^3v{0qLp{oB0Hr?r<<;Iqj(t;0p!;{ zw9}=d&ZwU9q%~HDYSh=2qB$v}6*``-QM_b{=3lSBS9NMd@urTNDABpEFtX`y=rij3=R zU2sO@H!tABaIfNLTI?L6V4bs$=lUlY1D=XvE7Hu(@&-=C#7^^0bE%uU+w#x(cF44D zRq1#^ zF-fXl;ZW6?OSq*NZBZ4}6t~E0$R4d(CEOIZz)Q>?Em;ND6gSVi!xqg|)!!I5$D79% zO;r`t7&psn$To3Nrq<%b0|zeL5Hmy5d_o8R_?wi!;woDnkQF}iw0t+`-Qr=lgh#k8 zApiMQl&3*UaQhjhJEWU_@~gpm-_x&$5-rK?W?^Akm12xTGds+Mma5v{($7o7J3c=# zSR0K))UAqBv@M4at2Fadq%Dh;wH-xhJ2t2~M^1RD23)wAcKRJ6;()M@fDDjJPb z)KBwMv@M3Hs<4YwR%ga#EkaY&HKRxQS}92`wZ^>FZ~Ta^LI=&sfm$*?Yr#IKEV(~^ zQ_|m9BHc zi%8{Sjz!K#h|_#GGrxBq{dhXsi7=D*;ynVI{x-=o*o9jy~30C-cPH`Ql{I+y}#Ty$yD2PsgzrM!5|P9n=PZF!Pw6;?k|(X#gHma;wdXKQiX_(%2Pi1R(3m3=75VKUqqUu zM?(rz*l(eVodWBuXk?^{ikkuajLC2ef|!1>M?`~_N_hmlO{&GjUDn>(4w zs{-l1j{Di@EX)%)HekY>!S~?z;&89?Zsg#W`N8(+pzQ~!Y|YR}n<f>&=`}@iMbS^(3ccibJSNQy@sgFNv%?%?`%g|lR zNzZWM$6CmThTqP>!wdId@bypdiqsbV3+mN{SQg<-*S5_d33k$f^_m#_eZuUNT1QYV zAIqCG*(giqi7x_v&nH9OmS_#T1Fu^zt1cByHMrK7Z>wXZ8)i4z0^lwCCpNS4<8X@| zE&FFQWbo*S)l^__Flw~u_4pzHYHQA{|*n#0lCLQ9}@CNuPc_kNY+-9SeCL4HV=63hY^Jz z`kaxn#Sb{;EaLs>acVSx&3`-i?jHYi)!f=%ssyss?K z@Ia8si5Qz3IR+P696?n0b0Pk-5JXYYBOcuHAIvE)URYlEC#oAoI`YPcAe%A_hzG#k zxojqOnQ{lXZS4REksWmqa8&(QVqD!3y;zBlq_75}`_b4wiRcDJ@r*$XeZX@_B5$8# zVB*LZLLzsc6`)c$6hVGN)kPzH%DWBL^W7u%uds>YO8U(3RNl_LT}Y%FcaOG#3IhE< zSK8reJe_m9p@>z^9vLDP_}T|ExZ$Z>js5wMh*kC$o+1@G&o50c)z((>;ZM9%cWmO9|8+;OxoSsJ&@EV?FU{tXuY1=KHDD!0ruyC!PA z(v0pH22TG4%G^C-=e~gzi!4gL@C;C;MHJLOcMTnypJhHg*akyP9AV|nK=m5DW){dB z-bF_x;J}Z9OaZy=h~ed8+Q0^U5}zP{<`NfjSk9!DHZjrx2v3Hc+?Ly_EpyL~<$ zgw^2Hj`PUE(I-a)(iIti1alZR;`L{yArea6S=7E+RL@6ZD}3QOF-a)2BnZz45y>{_ zazo*$JS0bGODqxsSxFTL;SrJpbZ9wL=$RP74na{8bKO~%zF8LZzzAU~R}|@BnBu=q z%PvV5kSG>Pp+(V!8Tk8LpwH>U^jzEW4Os_aZ0VtJ(zKxOVS{F-j1wGjLJf0?VWcF* zJ-!#QLP{w^NteS=K{2Pjz;Fme5koPLwq`5T0%swZBZP*{;DdYvNg{;cSr}B1|G+2* zVHYWlwhj^(-)V5GAs90`YyC7Vou@1Vjgy6GZ65BxxrFhuJLxPJRrHksP!)*Ak>-Sy zyrp3a{J7Y~k0hAlhPhDd85N9kaTg|UM)N}5!174ED0O+pjl5;2%r8G8$B%XS)gb!o zM(Qf>Qs%hc=`_jZCn3bOuuCxKOE3fql<=Xcae^{DT8S>g zC|qOEKa?rSljLt!luIPr^28qP_X@#v+k0K zm$SAm%|Vusu?!CExvaC4qNGiFmI9;12~<8U5>@W`AqZ3I7w>F|v)xinWinGo(ECS8 z7&}e0x#!%sWB2S3zjUU~fS3Gz!LZit>)TSFiQPq0r@Kx+I?ACkccu@3a%WYJ%o8qR zj;2EZ5wFs|3oCWh%a+hxkMEwV?@QG&!H9R}u9ld8=J*Ubakpd4 z=l9&?3*2k(3bOExC<{9es42|Orl$ejRrP8&?pR2ouI0E$=>}GtFK3-jdBA3q0Rn~k zz-hPL2E;x<$CYRJPPE?R-eQ05xAI>V^#L0(c2^qtczm{s2=+u_1`_+Fz_RoM^CAD7 zQm5dVrz6arOQhr)i*#NoS<=2(Lzxh3VP*ePaIboiF!m&)O|k*Jv8#(jlvq>IhtW}S zmU?efRWh7KK9=^xm ztkXv83CdS4mg z{d3t5@k};qmXmBME!r*9h+bVo%Rtd>Tw7gsm9yp;xWitCf2^QEv-J__m|7;A58z_q51b@3qRhbs6M}Q!ysC zn(gCAY(+Z^II+M3y)%MX-K(jS3cbI-J|caSpz6%M%ak@=`IjN%KV`OE4PkuXuGrrK zbOLL?)*$qAifC8G5i+&i(5_LqoE7iclu8iHhe9Ur z29J?KCX)oO-g7w#!)FMD>4KS1NiiQ7F&{i)UrRC{bYn|HAr%lqB!UN}g9MjJ3Zat- zsd}g#&Z??C2Br6#P{A;x2VjG3?zT}3DTWOt?3!T9$QC~c$?gcLh7e2pBSV5i%_anu z`KTH1uou9v7l06g(#TPli#OJYLy#Wg3a4NbAtQ_=COJHcfZJC3DqASqTOgBeP^wC8 ze+eP?h(DL=;un2y1i4@$FQW1chDbvXGCAC`Q|y`zG9HwScx4W{55_LnnCKGv<&G(s zA_9X|6r3nb8=g!va<-fi&|(;506^~93SWXL2mJ23*XSP!C6N-L|YE-I@s!*D~oz;YyV|>;$vjioDnY;A$g6yn9CDcDz^o#5jO7J;J@j-OAOUk>QtGI==OZzIc zQ`{2i(5jd8gJTcF1F}UXiTG-c@#;#J3oelC2M-A-F!rizU2A`nZSY~L$EA}XhI6$y*RbEYuxnL( zKri6?SK{uS?17)N$JMP9JqpkcuVY9#q3o2PKqMIviDD@@_(lPQffPBkxmu{-uhfPq z4s$l$_jq8ftg{dzd7xjD4*dT z63@txl=IPAPZInEK6_uSWp5{u%O(t^T!wXxE4sr-3CxlbIA)WOc{sP_uQ5&k$o0cs21$SA=DMmu9L%iB~QD)v1Z;vr{LG#6U-XSdvp`>MZeZkUkdN8YNbT zODkyc$;ULf0LLN-_k)M)t3infnM~pe@Oo$(a*yO6Bwe`B$bC3P_}u4Tz(hwU$_1;L zAwWsL4yls5^MQbpnii>&_`gDaqA%3f?m@`B5D0(v{k^@eo$nEWGRm5s_}@1eBZOX9 zAkH41sTi*8zJ9~2Y-gsoALzTfz;y=8Y33QpyC>GcCk^{W;vKwvL_>2aLJG`HqJk*S z)XsZBbP!clwl$bYb;d5tA@(GU>aV*)cGD@c|99)uAAkiV~QyN^uwQSjsnG>q%g#rh@RquX;$7}Pc{ zj)%VThMp;7tUbwqWCz@_Ae3T?{|Xj=3g6`^I01e;-osp1ITb}Sph?gd&O7V^*r|70 z!jMPlXE3J?p+hGDCp!>=eu5=I5*zJ<)D|G>!mL;9eK=+*;SB-#eN$Up>H@V1M8(F!Wel>j@gx$r@?+3 z6`^l5>kR9qCsGr6%#O)dn8(R}8y2x;I7^9sTVZ1$Lv&npiR=exOQV7loT7m9qVV`# zb;u?Q5K3orql@?%HysCXL>2i2AtE zxD%F0nUn^t03ZLjT=42856ge4GXbtRyj1$VcS;QFV}_xT&~b0x!A-X+ix(r zfquTZzlo+_IP=yCpA%^FO~!lib#To0-B#ST7sRCVJ?WTVBx4i_*kn>+&4-CPAUFro zwpv+e7}_8z+5&Kio0QRGy3~5_9_R!mntEP}*h3_OxNjkUWxDhAZHjNheP@hH-#~xE zhmr=5&KTA{gJ*Ouh}VrE>uXAQL-P2TqW}nUJp^xf^};wo(=fdcOT+k^j9@rA&RN5h zhH;gQAUb-AXdgGcf#ZcSucpZ6h*%Q5^4ALqq6vxL^(w*>(wn*<3@K>PlM8liwfS+& z1D9)vl6$*Yf;>E{|FQ9)%enXZzLJrb1rXh5pauC6l>FMg{Q|$-d^Fqjr)T+3`mx$| z#AF;k;AMZV21Y2rQHyUU)86e9vZ4hgCI13Iv)x2mKA~!|E{X^I)+1($W_x zb9&Uk-t$rsBvwOt)WF1d(bBg#pxxCh>g_Eq>UrL6bh0;RHUOS2Q?DnaVv0`M=G65f ze8Tz~-FVWxI0dv!Oqb^fqx!Re{q}ffpzJ;A+E5EY$gDYiQTc2j*W<+9x7qR!q>sO! z5&iuVbJC<8x0{cM(=p3YH1<8GD0t(ji*QVWj#Cm6Oh5VY0H00>?;p zVc7#jgDX>gM}3LnFQVh^=Re&P>|P{s3@+yWD11IW!l)4d@ft8uc4k*275h!S=M48(nHhq$&9>^|Jw`Q zDjQuMVE89(rFAJ={uEokP}8>Xx3LJcJH*?~aeNWe+*3N2Q##HlY04yY!QV){Vsw4_ z$&k|4~`5fF9D} z`{g<7o(I##f+_j^jprB}ZV>lZQlbOR_pV`9*UZUk_ZcsziZgQLmbgV6;z6$fXNFYa z41?U+sWeNk_@myRUZ8jKr8K^!@2+kC^Z|jFH)15xZF=S0?3)$l7ltMmd@xs`NeX)l z>@GGgM3J&Ksh)-0#{Ida!LnZPh=nc)=t9Cfo1opG z79{NZDqck4G}Xg3R^&Etc#h=AC$IL#JWXnGgobPmVVHh>oG;$ms;(;sI%3p4&R?n- zlbkQtI>}%9e4;q%`0LSivP!JNOX9CUHyu3&xA_orFOXO1+eEamH8B`io$vy{z5Y#= zsy>nt+ig?M5#H?v7EXTA2kP!;hB%qC(?dYr?abaz<}CEypl*7wuh4a~R;p)~W$dwf z*CG;Jl^NaP!bKXBZb*B*q zH;PHVx{c@!K;PVwNq%MpD=C(W*Zn2qj?3#dcID+(qO`Ql46%f*On}nXvQLtKkk@@w z|J_x9a@#q&ORu-|DXe~q^n42-=Lf%Y=zW>O6`+t7CzYPOF_?$m63 z-=9&lX(TC$e!zbBW-8$a;j0xgKY!iW)M<&bee~>!8c;6R*3CqR%rY$av2HY8jkoR2 zew1QM)yh^qf1tO|S&J|WFq50Nbq5eN3KTCBo&U&?4k^4gKJgW{D1HFmFV%9`c@ z`qoVC`MY$I_>Swjt+T_U>$&X5mPdB-O*x3s5=Nhp_jXJxcD&evQ~AZ(`U&A=^y$jZ zq|in*GOAF~MS1YKJUq~&;_>$bB@O=e!EH&^=Z%9itpTIYm?twG&@nsLLt%f=CAori;ZNHG~sg8*K>2v4~ zr_;7GhMC?l(lBhor7GzDudVNy6#pGwrG_%v^H)!vJi&S*FP$t8Y7)o#g!)zWpF2;U zc)GZ9**ROddqsEPbn?8AJ^B1tWuj3NTVH3xcE0)c#4J+TNQD?4ffL-S)C0!r689aJ zV}9R3UHlzT(`64XkO35qk3#jed zua~bi#T5`faNWW^Z-~Rwg=|WXmWp|4G>_c&gAl0S)BlYU1@6hSe;3pT6P5mDKP;1}`Q|R?o9Xkpna-#tikMO`8z;E-bGMpSG$S1?S7D&DZ49QN5tf0{-))S3<27atwu5FtRIizZQ?W!{{YSjBn0B%3UBOj+ zl-Y{iaviS7bhn%U9wD5utJ;xHbI_ta09YV~$O%zI;16(G<8< zk!ukMHsH&9>y+<6ZAeu;BhM~;g5z6Y2hrT=cfz`;lYYFOCpNh zTkFG<4pG&nC9GLFtD&fknond&xJj^Zx!nF7Q}ljjk|e%pc@H9vz$EPs`T+SL+Ms#o zmTNFEw?~-NXrB`KtSDbk;%qlMDq9=dfnsdvLk0n+!Eo&(>1YGMSAMig9284AmflG} zVGr-#Vca5>YpJN(HW>I6^&CC4^y#z#Nn4#gEHgZ4?;WAgZ+|Ba4yq9B+30<}cX(vk z#IvU#bie$eN}+4I`+v+_4%HZ&mHk&+=uxI#{q%p#+}F~gHPgvuK`}X8YU~M;7Ry&^ z_(@`%V(Z*f>!(pkD+~)!ufThPs%8#OqKI-kp zR^QiL>T1?5s#BWTNZDFv(ywUq?|LgB*|zW1r`xdOv)r)9jqKYrK07|Tf1_Wq{NQk1 zqgR=@wcyKpf0ra)sp~?!-KK6G-EhCPZZhE6dV8r}y5)K9-Rui0PbsZ_^nN(2(JPe7 zd>C`dW-dL8zuFse+~=&7dML_XE`0oYKYD$bdBWM&!ja9QJ^+2(FKXT>?O0y9q&+#A z92>GK2^3e>L7HSgo)$?}AVMC``udPNCZx*^=d&rBOJi=ER+K>}ZVzP-KLa&Gj-jOs z5BL3ss=?}z$0il#TAwgyaxjgX`+BV8i0&NiR>5PIZyWR2fIDK>DkRN>RN3X8?bJDu z+eA0^EdFNc!1vKeC7EBvxV_ZSH(U32UA<6<+H|XL5@L9m=5&*mIe>IoUTN`1R)VCc=VKOET1ugAV}v&w2~sc$*$LtM zEva9Rcv^uA+28z6?hTH%5h1*}MHw1LME5-Yv>?yE`%=S7!^?+<8+t@xd>cuKZ+sR% zrhmn8ME+kO0cQ1Vz&GdPh;uZ#YeBVXf%|DMzAS6ne4)Mk?dY_f+2E3C`(<#mB;ySIOr@5JscCi!kQgBI=-weBAueO;Jq=R$7=eh^BAb1!v;jLlA}W9tzI!Sqh7 z_45UWo=Ig|ox9zc39E%@tJd6c0D{XxDd##lN2_jY?@)`3_E$nG?>D4&F+X#(G?z#q zW0HDP9Ej}41FiIP_QKZT&0Le>oCIm{gcSPSX6au9YO)q=FFyMNweD#Ml;T~D~v``fBd9`I$^B?L$FxC(2n?3zi61^S?)()@ZYNGO!!;$ zye|SX#D}uAcJ|Ek&(Lc|>4-T=mPt{(5C6ma02`PGOV5W%*Wu$>X1--MC~0;IglG8v zpMozOWz|W>g{*UmawKO+WOFWK*NZdP^S8Tk-aP3k5Y&^Zda7M14_h=7Mq{dh(eVXg zMWOjb5M~hb7q=&U?-l+0(@w`ru22#Vw6B_>lWFCCdw#mD(iKVnF=x=$!l@%-`m(mE zd9MkO%qt0(wy(mVcc=c@N8mCkkZ~nLQt<^iLtSRHz&0!GtBmNN`H(1xO;KV(Dcqtp z>1mFqo%3>DFQ7_ByF4I7{1pp3{JnjRJc>b5AHm72K&J1z|1h8{GXl(6{%ra@@{9T4 z6~k<>-{Ie6)yYVqk84V{7Wkc`^)5R&kfii7t$rirQUI;XVqFIOKSlpAwikV$rU}H+ zujnYMn!fBl@Un3I3O#2Ww96U%-L#YP<~i9L&YgPCUnk!rnfcAhn!J(>lIF1# zNlxK1gCs`9bs4jNFz**XQ;G%hj!P)ojH*Sx?U;e9CeeINHf({ADf1iP>*r6juaYoXe)v1 zvC~Rn*Ll4JCfIeE`rz+P`=zf0y|0v3r~aqby@DD@ zZH~^>aqWn*0AcXUN-I8Z>W`Q?It#rb~nYFP^+nG3^S%uN}{)JPKjS}y;JWf4@el6g8inI9EEQMS!gsPx$@)caRA1G zPFwDHkTg_2Im28)S4$6ABzPoJ^CQDhvBgoR{EUk=a^}wwCRjB%R*)d!SX#<8IhJ<$ zmjVctt)8EP=z@;f13?D^F|kqM>3&3ACW$c-Dt~{*T1b zAr9iwa-!UiCxRBVMxJ-4bZH$iahmsbZkS{tZGnW{5su{9=Y0>`l;8N4a6c9!5h2GZ z3V+ShUhn&u{fRSs(jqP@eHVmCCUV#t_@hk==%)Ty>_I3z+nhG{!kb2lVOKeCdgXnA+vrN$u`66r{6)IW#rw1kru{Xd=5Sef)GIwb?<1>@uAYsg`^M@>T!XP zZ=HsJS+mD5KJ}a+cZ9u0cg>`L zLmcj~X#m?b?`u+VRDh4|VcXZLJ%jp7fm|2wx(v^zXu;0Oya%|ocQ0P5;sWPYEUX27 zK+Jm%OJT*EL%VBIz$1=#GbU?DG{rjVxeU~5o(aX9DC(M?l#Z+4W6ardy%Iq-j8ehf z%TK|6g%tdh@71gMbyNlT&3R(>Yw2x?PGe(I;SUzX;{xXLjC(973sFW{$c)z+uVs*= z$X+0*l5Cf3>H4d}H+&oGwl<;g>NYW6x9lTN17t^r)G#PuWUZE-ZGx8Bq* z0pO>Ans95n(xbnf1{hG0mlVA=&-7f?xm>e{B`(hiTtt1ZtgT&>EV)W!%PMrL7Ak_s z>qbmFR2$oaScNXm4bdk%x_o%T@?X2Zclz4r7He8tU`w8n-tl?CIrNs*xdD%Kr3NqV zN4HgdHPGy-VL*@m6P$}}v`dP`=$eLgaAJohUt<|?hK57hXT^r{#%4PW2SiHIsJ<${ zl@{fqh@mjBQuD8~{k#;|_I4L{8E2UsmAlvr3nmLjVdJuNO={sRjjhKNgP%BI5bK@I z_vegKhATo0nWJ4KF&vx8n1^f`gPsN9=_4lkzRLlE@C?JwtlBm(;CIvain z4@e$xgnsL-EL8s0*0+A?HD|k=A)({w3`T>1Pvn*axH^1|Z(gsfO1NU4UGRcN)b=D4 ze|AuI<=}SNe(Kw~1fVQD5=Br17c*`%SrWYxhO6qwa?gJ@Mb`7JyiMb-9=55i=P{87 zIFRTxEG?dp&fHZuZw#F=hkMh*JgI<;FXVh)#m8RipHdYu$`ex$|S|@XvUEp`mOoTIhxxLV8e+^*70Jm9E0T zpLZYKzTO@tj}(;&c;N`r&I&y_|FYoK&4D!RW2;I6VqQ=2O&tX>$`{(+hK>{tszAdz z)4u7i)uw$+Wy_D{DA6f|a%%|4$bktQUusAA8&e9K8;>z-m=(tWn|Bv-f>+|^LZ=(^ z?lFLY_G<>aPZfrLWZ`Fa8c{fviRd*sx4F*?>rW)3fq_4BVcab<^1~%rs%f1XsBAHK z&M^#IM(}FLgxHljw;Tg#{>I~oR1}}6Hr*z_fJYalrWe2ps7tk=noeC`d!eRP@oa&v zsX6snq3%EVGt`b6_xwW#FBc7Rv}zcpqyKzl(m8P?B`qAZWjuO{GO9h4QPoqTj4I%K z^jSKIsJNzmYUrHz-bKJP-U)O&SSC}gf6z!dk;J59cFEG5 z-PNiNHS*aixq(6frbFfJ!2Vn{~g^WIO{LrBp0+9N_XGc0YC|r7#I&uqD3>&Nt~^G(@_N!-Y_2h!<@F#v_>8%eceMyBIwEE^OILu-4dnx!J-5Ht zPoEsA*Hr8JiSE;0TJc#l<2mk=q(_xO!b&Sbk4Mc6#TpGJM#ewy*zY^8Ys)T7tRzqW zB~nEP{>90+s!I?=wSOP9qk|UCe025Msk!#jZ@@nQ1N*CQG|vFO;S(?C2?$##$6*(o zfWpKL3WKv4e1JE}M8uZ9vL_zAj6DYB`O?El%9X6Faz9DSEWB-n$Wea%2Fd64c(}e2 zF~f^hot1I4bNgkiw+5{F;VAkeAyh6i8UJsTDI=O*=O`S`MUYISfMQYBEZPid@|FH; zaF$*n3fLrB>&0mNl3RUkOvS|*SBJ~KcqGK5TubU@032Ztn+6cg!HK+kt$p39Vub&& zmzfHgtfj%|+YFqDj?wq)9ZPh-0QQbHOj6z>hOa2Vo8h~NeZ{`2b#0px?-fdTpz(7O z?EJ!^?EtyXTo}fD48577V_6E_a51A(my#Yjrx5oL18B;7?pNiv28;CeFZI=Xpl96q zaRP+w%XhQEz=ExD^!+x+ZQa*Y=~%=xeeyxroiU<+q|3mPVBONCWf0NP){AMy`EMv) zn845N&d>b}?yg(&jL3Uw%940Qj~p1&g^V}kv!304`fSzJMp`v5a=!BW)WeJ77X&$D(l*nc=NMtl5bWm)S(2MMyjSH%#^~aj!3WHxy@*NO7^4HIYw4# znbkLy{B@(C^bW?IZt4p@t0a_*uz3SAO5ls_B7%!Mj*FO5lw|c9jjRv&%LwdJIzMX< z7^lgLKgVV_^|~0qAU~uA?y6K_HI|xKR#Qv2NX@z?KCi$^o#?v>r~aEof#*#K@D$d2 z9_ai$#s^jWWe3Sq{u@1H)iWKjV~Cu_Ao(FJ5S~#rEDY4KYf+drt?hYbAy2!IRi3&ENwmvfaeXBYLxKB^bS zE0NxrNy&Fu)l(Ze;(Pi)-HLka)Z{OneZ${)ft?#aHTmBJd|A6ucSnvt7GQpm&$6(hmj zvdVA3H~G|^T|3`;Ei~U|rL}_47KnZ#Z5c8}32djTba+7D@UaDD|z5Y#VIa+g6_F$b$V@ z-z1@|WnRyuws0e5{*`#JrLn>Us@B|9xl0#}Fq2nWvVaat{f!p`@=P@oSTqtWT-CH3 zxiJDbsP3Yl6xChSpt=jDtIgv*KS?lm;+{XuH%r`qZlEqjJ$mQ)PgmF9oG!i3M@|4v z*O9*by|?n5J0uqdhWX+nMH3q?yG5D!bu!5BN#3rZoM=br`?0fuJy)^w`tM94;o_w# z=QWDjM@AhMJ~40yV#Xu<2xCw5u3kN>!X3H7-({Ob)WX2tD4DJ91cFbJ z5oL&7i+=N1$%t|o%YD2M=*kah;=49Ev~iar(qcH+D_MS030`>SU0Gq^a3V=ll&6_6 zI^2PAkWP?l<;2_e(X?oV?D`LDNF{o9v#Ueu57*K9{yy5%_wjC--cg zNc^)Wl&P1tw!ZJ%XdHfsVoZ;wzO%hZb2uo zlk${oVzM7_QTzL3|GOLrNM8!>L zz5sQRZ>@+T%0E`4{A2QbV&d8e52E=%eE=b%A8T5dD7^%M4Riy^}Da-=0Ur0 zTzx{jKG%XvUkK}auJs>~%a3R0))AomKff5iD*DkCB%DvF0Yg5i(gmOwdZas>e>IqF zit&bh!u(!VA#5lE(6_q0x`9*|!cL%v2T{kS!q1@gszRafM&Qwm!g}S(5Qr|R{+woW z5^$b?LraLZY+-njVbPW+hF0TuS;#lfjrGOYQKn`3LTCrTirq<&C-b6>%$b(tBFEkg-31$oVv`11g(-?2%YKdT9uZ z7#5lni2so(E=%h`t25Zd6{koMV=lO~!@?M(93m8T6-zzROnh0an1*tz-+sC~Cl0Cj zoq3Q24Y|`69KH(jJuAR%8=zuR9|;TwyCfc`raW%_?MJKPkb^Go7m=dANv2!$H+r`4L}nns z>6*>c2J55k$;Xbae|$_OWoZwXFPbu-b)CW~mCkF0n3*&r^geY>83gOo{L6Z-i6l#e zsej}tv9HL1caf429NW7V@XkQQ8q#tb|5kVTdc*P@JLs_E9M}w%Xlov4wn&=!~tX`%4;i!hqYHy3{&OtDy4%oczW(o#lxu7N>@DgK7zrx zn<_+lC3dNgbA=3+XB=y}Xtpd7|FRjSNPYLq+EM=zw-H*@BoBlA8G2f*>%7K+OaDUd z>t*S=MV9dN2?an8&D2|`Fj2TU&=sRH#SWt9LnLx}_WazL>Xcm(%*j&mA%QYy-sw-MRsu3MjXxOQZc=r$j=@Hr)`9a@xRl>GpYHZ^=>Pm%(A$DVg=!IV z=J)c?mnSRNK5kIpPrHPAHeu%+hmP1Y#-az|?Gd6J)Soz%gZjgwiMYDDcB?tVpij+o zM6CUpJ(kTEr$%BrD}@UwX}@F%*kdgbCRDh&cM2QOD#3Xurp@2}@rQt`0Rs{`e_R^h zHKk?osL~1OCA7^f;Qvhu>v^kU)lomJO5i1BsszxtDgPq0VoW|uDb~o;Ow=;j7olZ^ zI*O#)-lE#^AKvjkD$xBnFErTd zPXl-}a=zYSA`P_Z;rXeh%Q$D)w_zTkHQvF#!)NWPT-{R`U^SfIxwbnZye{U=30xd9 zwcfnPdIt|8dw+Ds?e=$GfXxEK=ar$OfnIN*OUB4mgmJVgIe#jJ-!EQ0UYClPNCzk7 z4h$h;L(lK}vf|?=dk~9nC)4$dvMHtX)|=JA=I>epoGuUSI!FT+PQPNjN%kTmLI$nj z^;=T`x`jngf7qjVm1XPk?htk=$AF7J%oxb_hIJ-XjL-p53$Tf;D|%BC`qCp~tJ4P_ zgfH3}MhCwc7HiDt$aXVs2sjg2>~<@b{F7vDE|)CE zAp0KzdGmvO^pX#yBp;Oz^LuF3Gz-d#zQv5i#;8ZW^)zRgCMQPh2G(YtdjDL6@JPP< zS(C-U2jk(BWW<<=jQ(gb+;5TD-6Yho9zcScASf5qLndi5(q5}Vxr=s$y z{ZSm$tn>0WnYWFI{Be2@|iFCd(x9Ane=VR~=|AekPv1bKV`b1xI z6v>%2Q-K=6z$Ar}|3s5b0;1ecl-P+$=>1Mq5=Bs1DV)_I&CQK3KZqOnl+)rE%uAff z1O%#@S)V0Te3smH^wX#D69)fUXOwOnbdc}wK)=AcczNewHE3)jLM)0`X!<#;xZ|=HX|{O5~$g@M203MNnE18Vb$jw!dl%M?;41d%6BElA`fWVTYxvYUc1A{L=zMh!f((C6v zGw`qc=a^$l=s?yEy;Q118l1hbYX{E~NuB2oWQ{VCwwruvrW{#(82Zrx zHw8>``k%B~pwcBs=A~%pYiTU{FX&rE-Ylm;3u{Ld2acU8Hu`Woo!AX9@(%s zFJw!g=8cTq4ogOCR5Pwtqq$&oZI`C@*3Q=Jf0UUa;=Z@tdnq6hUcv0g){V16Y8D$7 zwS^Wd85*d)xxG0oTU~r2n><5EP{dCz*!5vc%(~EqWiwDz=Rrtf?qqC?3vZj51%tR$ zeWAtad|pr_D_z*kK39rgLP~InTVbXmIbkodDQ$0Hufyo!TT>*lP^ErQGpK8LYU*(6 z%o3Ibn{e`3skzy7DsmDITJjA3Iu}V?SVTs;ZG+)4c`c_yk9p?S5YYAShsBR^WQAmoZ^6%p!cpO`GBc>pY>*0N`mJt5 z6yP(WNn#cbl`%EKZA||A$lqy^g4aK0T;LG@k#sMx&=56B?Kaldq=gu@NWZr;75dz+ zz>wA9K#--r#VD|Rp~X2GufJYhRdw{7WyfZ7el`o{ztD>h_AJW|NXs0F=F?04Ay~dt z=LQ&{*d(MrD=M0RekGgY_r15^Hg)2UNsVhx7UJP9C$Zjzpv5V zv=+^}?5^VyPv3&%*i1s4gXfvy@1>{B3ItTo1o#&xqPE z3WUOzA-l=S;%1!$sBfrmtY2qeeS~vZg%E>bZ&#b@aPjo4{ zdpn72TDr57G>Msy3G!1LBi#H^Y(GkFFS^x7dZ?2-BW_4 zA=8!>{`S`X)=w??`5<(+IZ{HICZ{s6jhSqis`q=zA5s9M=$NW%l-jjyb#Rz?tWA++ zJeP_Zm&(q9pPDT!FJmX0y3pqKdNea>>}ixban`IwuzjJFQ0f@10bYNtvo|m#Vkf(l zbQ^KLC(d7!qaWaZ&SF!vC6Ys!nl_Pk)0BQ4{19ndm}+Y+&+@}YJA|40X4vzg4E&R* z^)XO#ZrIdava(ULwLv_=+WMw8>~~WrBDQpPJ5Y_=N9V!|c8)Y|4MsMrIv{siKDu&+ z@a<%`Bco?>1IiYfoko5i=nN&QOR|q%B1dX?n&=GF#hga$n$o5n#*#Yj)^{*G!h#=$ zlhmDyoJ3AvXS(Plsckc*WsXW^534(VIu>D*4&iG{ziwI_eT9LxNW?{3(gDntnKU^0g9H8dhO~TmGDFrA99Sc)Ap^L&CiZ+1(D4&)yiNYO zUM-y+n*|6c%m3Qun0UL$X6Gg-$bQtciq-Z(zjluRpq@~3w#6R^<44Yh4p5wGF4CJo zVzz${Ty|~JJudPwWv}xZWJ%*pxyG;K`ITc__YN+N40>)!0Lv4{V$u9QkDl8gW;y+; z(*_lgtuX4MjmZ4zVm6rPX2wy+9E%32hFhwfxyVMFWt5BdV z>aN!8!JIW)!zMiHXW+rhEZr6-WSV;dS^&mYX}<{vg6s)}81Lg5*SqVYA9r5-kBh+U zlP|Csc&+H8tdGpYbm)|q8i)-6&DLv)z}plw{XT19?=CM{-LG-V!1sOU!^5Y$QgC3y zK+y+^U%F|2eyv&Q;L&UmEqB;R(e|yzK2LTCX@YtLE*l z*JZiJe=?bWlXy1}^zBYRE97&6`oVo9=fSL#@Ove$>~NMHYeeObZG<{a)Au`VBJN}4 zhuHABIX1t!qaAed1yD3gypdn_fQ1-h=i6AwzOaPw^|;#3k(4At&E|kCoEX3@d2)A@ zqFN?#5`{btSl(KQ&(`zUL!<(gEemcg#pvF~@bh*O-#+B90+Z_yjt-Y1XM zx^J;;F+Y0LzO%7je%N57@%eU-+|~ALYRHtR7ku15oN-S%)|;U|pc1}lVrhF^AvlVo z{`ELYKy`azhzN;~Ehisy{;s;YA@!IZM{9a{66b{sBe9s9xHcpF%8uyziO9*XJtwAy z2ArPYBTg!^ly@%JoFd3UM17dur=f0v8dO8!w89s(Z8IU2Q~&@#>MsVSilv1G`*{vO z5KuM zz(QKxeF@}k*`KgGXH0gfUz=Z(YpDzG{vxtBZpIkTHWF@5MQ=`7IlGmYONRytK+|H6 z>$mZhFQ7v85{R>|D2bXHI3updh~aA;ttQD85zH8$u*}I?Wdk;}o?|_2(wtw-3KwZ3 z<95q<&6u*S$5E#IyB&GpjyKvydwC0VS~VXY?G{$)<}s+3(5RQ5c#n0RXCDFEy>zGp zuP>+x;lTqWDcNF;K2<~@9?%jNzcL&PHD&z;Eg$gKZXOFZ=*}1u4luJq%9Af3W5)@F zI#Gd59KT$5yaaZ>RRyf&?8REMk>*#=5#9<@M@!0A^f^ST5ov%|xWhRYbk% zz)n3+MpjiohpxW!mv<;KTco_O<(N>XVj>p5EqAzn(-`Y*mdNV_A&z^8!1@ zt2aZat>=wI1TM>1UyrWkQ?Z3Qzq)8FVQHZtmZEr;{8#kGn!IqHA7ji3ii|+0()g|CKt6FVuOC;^yV!!f50&ZANBPw~@!r zwGw=KfvhY(4$oHmc-eXQ@}kpBHDIl37o?GX@lR2>vxJwO`01CO=P7k$Z@6EyHOX0V zbEt5eaZ@eVkrBinqZin5qiWyk+U3ekwbVl9-1w_i@BKMD8+=yD`z4 zBXER{suh{@tK)Eh&`CIe(~kS2!9ZBt?_iMLAV@gRj+=^T30G+n^jAAMV2ybV)M$qU zH4gl^kYO@uj=Lw+yzw_uT{QYU&BxZuVPH7qD=rdUU|1#L( zO2zT%@I-Q@&bJ!#!0a9StQHzfKHPV%+Bw)Sn6f2IjHE+4M)DSaOHNFq0AC9h~5ccFz0&6dp8`10x*%8ep31M>u?PLDN_R!Gr}GRAFwi zuyP>WpUgGPCq5nomJU98&4d&^9+dsZ!lXnmGEf#)y-4eW^H_^zOb#1)s~qeQu7_UIt@1Bp47hKfVtd!KohfEx81t-Y~s8u2~Bpq}So_Zpe&;B)nK8EJk$ z_pBzEMN7uAP+O__;G7GwSN+_dP-JKghrl2Nu?GOoscdMMTuMA6TrTqw`|)X+ky`=A zh7K>Rb9e*kq`y`pms{$51i@i0l*01|Bwd5?j@+G#PpeNkV%~f?Dtg!ziXj}JB%)!1!Ny- z3ULuSe^}lr-0Bz^42wjpZf|vP9=2a9G*S09$Tqxd8}Sw{v=gJ!S|C8rJ)(OJC%~{3 z!zy;c%&Vh5>u90I8~1+U>I5e!HFW$+{1rki`ErE-*>Eh^5LUL-(B*_2<8PsEF7z+J zd&NywDD!Bn8;bB8GB0DR^ySp?XE(Ilxic^Ly1_CwaIkzOF{-VXh zr_MKS^}*trQWX>N4(A)9_r5=Z#r37K@@Qud`k)7W0lR%#=Noo6r=ItXZ>5~4;xDJ- z!Dk!R_l#)1DlIbDY5 z^SAoNEQ!xJ)7S{bH-K5-Bg9AB@4b@0Lr1@@UAfgWxzc_V3{ew9ltW6sMiLbO$2 z!ugS}hudA=NFwNU@lxQhv!t_7b`@BCF!rHGm#=hlLC}T4io}FfpMG;1B;_%501akH(e*rf zyX9p>xVdF`tLZl~(}s{KH?bWktzA|C{Ww2=3;O!AKf02ppS^;nf2fS6-=UPIAGZYd zqd&U%rQg~VnqM_4g`$$?6sl-($kw^~<6v~w!_O4advs9u zxwxJ&O@6s9#8cD~iIG!zz)gjtk~S@aXLCfu*aATFFQE?g@vTq-UM6^9$pWN6W37}6}3ihrMdFY#ShUgCR-j6`ERjpYSj z5y^L5;L?+wly?Y3LC6-m^5dXG(!)<(CKM`J(cG4^T@%0hi8jQu{E#g{xkuDgC27iT zpYr(z+WAJ<*@o54sr$WecyM4 zln@|33D=s$t3Uj&U@akOp*+1HEp(BH*N0wk}^Ho>NL+*x}4GL z(P$%YD{1&93XW}voF1)rnrALuPV4n(u&FpMInHBib7Oe;f&cM2`n3z6x*It^*~Y|` zEdFY;zxcg=&0gH>?F&Pfhe&ts$ud++1`X+B2qHryoqNLByZcu9Y{-AaUqsZYyzp8J z2|7}Kdl7p%^x*UM$S+|h1SE3IZ)v*TKJ{K{dyd|%;bVAJ<5b;znWA>_x~XQBkr1Y5 z1(Pq7ZaH4=M`ujHc`R4%7+>}>w_Fh_UA8P;j_A1;-j^dJcg=RXTVj@jjXxrF;DhyG zdqn58Y~sCucKPF)xR84V7eBTiXVWb;4z{RQ&%nNaV6od$FpVSQb*j^wR|(j6FZ{rP zYZ+L(YO?~z$Jea&T#~SMyau=-_H<8=BrNZcMdXw88=_fGwLI++FRvCn+TBjup{*@* zRZ9bR^D?sFfjBcbkbkvcB(T|Him?D&d`J_K z{ri>wd@G>C`H7BxWNN#-yaDduAc=U-!}oOTlcCqAV*`htpN15_8XF^zNc)6FYpb`ZqNznbtBJr9jFMs>@YmL|1_D0w(?;Wd}vl^WWd_k2hZYb z_{RY2JreH`RI#k=EJ%hfFl- z^p?#fn)K&Jn!^|wISZ_h;p4)0I~P0%Uw*fg-y4yLUu~xI%Ocy1tg9RRHL2{gI1J5$ z40S(=MZlQHlL#=D&^R%QWN3X_xpFSii;!rz+FZ1jdc8e@fG0KE`Xy4MG~c!| z4^z_Lw!rm{pt|M81+eACNKs@Ef@3nR8(Y0d^vHb7)=iG$PW`9ujm@1*hth6zE^Go@ zQM)rnC(bq!$yJZZeA_ym$AH8A^Y+1m;w1<# z$Yz%&CZDaYkRIP*NI&eQmYcnIu9A<{kj0@|H3zt&ZB=45 zDq_9z53pRbJjpsSl!b2T{hA*N2qXrl!GQF z_i|cF2IWOncQ+iIHeh!*Qp-v)-*JY>qZKbX+d&_EU46K|RS&59>;RR8VT@CfPQD&!kl~UL6 z-s{$q*@aP^Um7fNqmEAVZl$gdVvbd&Ngj3y?JeuF>APLE9P66iPq0QUI3e78Vdbqs zeIv?vV&W31*&Vk7pBJ?xv;I5G^K+2=urdtPrjeSJ*T#)LXo$c|nnI;lcDptYcPu~G zX!N(~|1#1m-!c;Zq_iyLUZk$*%$y3##GEmI$H-o~k}|+Ak*pc7$LGAonQs^Xx~ks~ zm?o0X`H7Qj38urfnd5M}r||KHft z<=9?3)xQ0~aJlCTO!#%I2Nb?D+9rw<(XlQz%ExY8Q`@K@<$tHOXLAW*tjo9R{HITh zAO+wmuECtiW&9(^ZH3bfW#dmgh2hfnwxdW>k&6Zng=0v4IkbE`fIp*@U{FyuQP=d76I=*&1-8&mpN^h|SrRcj$PwanDG&aLY)odPe<0 zP6t;&@5kZbOA$wQS_=Na(>&+6+RP!`oWtYH`Gn^mEt-_lHu(J;6vCR;Y<^etUDW@^ z?tfz8e?@=hP-fxr97hAO?yI;iNYIZSPM2f--=Pm`Gfx`lJ&}b!m1=V3>Scx7}ohmM6<3Dhd9KV0N;X zmdTte`EzD}ykStwb0d}pAUB|}Wnybnt(9eJZaA>{xLV{FX?Tg2Q30OmfB%Sp7h-^T z8G{6CQmdct>ha(|MGu%1{@VIJx7%4bf7U$IS+>dR8wmsoGKh{9L2JiVHeMdlN9`VANUFsb$D9CmFsiDkVpxudw{zg`NHw za*o%d4D0N#U26PI&jDenmxL8%N-vy^|1Ko|lyg*N$&y~!bMl(?joVXY=U0+k3UrnK zXQDYto#JyXqHhxLmK zi6)X~x_Dj&%f)IPb+~yw(9FRgV*>tY=`n%=7UEXw1KJ?R!gdW z`R=?!PGB*b34hXE9)iTmvH2CazklFFU5jSGT7)eJYkMhJ+s&4|#YH-1YB>^dv0z!b z>o7-B$gyzF_=6+eyt?j-fMAgdr=x@OT2l1&$x&k!blB5+9j0`n#fj_lLVA2}J5(39 zjcDWYUTA%+&RX6!zrO~rYw)|x^N?{QOQf~&i{srjpW+5{!M`YmE(SCuEC!ou)ROeH zMNur_PvVfg{<8kY{6t|!PFa7TF`5!?UjC5Be^rYhystx>dPqFt^b(L8^8OBw1;j4A zd<=W_8AGdO7IHR}>BYFlzRTz2#motc9&;VCd2LBX!530$F3z2k6WSJ9rqSewIcD3I z$0J(Hz9?LCNt)%EgRscNRqd9X=p?O&$0X8U9g}E>58uuHa4aT*?Sg+?S1Y@_MWHZT zZEMnbCz69eIfuOBq)F@aH8GxGUtfmDvSN(E<4PCLpEtfIz%39&Ji)#E#V_Ni7fD$z z$my)z(#O7;nc%)Gg@#3qYt#WWCCv#9zqwwF5g-1osZLhX)u}M0_yQ_&%$OqgTZ)UvT+gSW z&@q#G=D7S7me4WNdd9diu6fe%qvzFp@H(>oC4*>@7jZR1Rb(Yj_F6ToSG+s;bIX|G z!<5|*#h2lBE&gJH;{3Jl{!!NW=vc3CM$0)`;2hC?VRCTY(LBna6sJrdz^Q;=IMldT zct!qx+mgv#d#wtN^!C(on5WKX5`)s1GJPgYVF9(HeAy_qzO9M8W3^>{!vB|d_y0(^ z%yh{5;9|)&c^6${N*{(kUB|K2AnEmIa8ZoPTUopSY}o380Q zeeve_#q*d*`I5;$-^h<^g12{H!_e)9yST)I-pfPj+e(GzA{}mAmRH|Zu(H$j!YgAA zI1NOqFfSvF@~*RvFV+xborFiIgFhd$FjjG>mKAIk86YZ9%4BM8-^3??&@w^L~gt zUY-+q=L4%S*qI;`I@#S_bvz1M*xivj#%|>~x_8>u@mKT+ETU0b{fc+&Sq5T1={)>DL7`Bt-qEcpQ}aoG@kN*m@P?sVTD)nSQg7} z@OuV|i>2Z+U+-B_or}jC)2*ly;%&=!dOoLUo~m7ut`C_nKRzFgUwl61VOt|R=5C}j z>`|U0X@dL=-j@BH3x?I272fAtI&;F{ty2l{*{$?*%<~3XpF6v%o;GzTNX6YJY8c*m zB{h?0?U32HYJl=MhG&0b*H@IBQ`xIo7}L`)JxuA~UMiw3u5_$f%T%1P-RZNI`SiS3 zdQcYeZ$~-oyr!P6>ic?5+H%mQRx%&L*#g@d^E4G(kxmpo`rW2}4CM}=iib)b6N%|R z+1y4y<^LHh2+sWAU4<{V=O<7WhXhygaMJwO=ow zqEQfnNgoiv%ajT{ktt>Q$oBGt>My?cR`70pMFkT~`j#mt&Zf}+k@DFqr3^meDS*$b z3R_ApQw!4Ui=2~}QE}}@gKyG_JcW0^IO^K3f2wJsr*#>sOQ=D zWw!3!Won}wxBH69Uo2Uxx%Ri>S!!3u4}>H}F2%Hd>5tX=7f>IQSdlJ*hI*-PVzzs@ z$)@=XF#h9nX)n=iwcKOghN*URGF zy>uE@A3k*Le`Gx`|J)f38trBH_hCynijzZ@4y+uFUFuEAsVrCPa{6w;e>ZvNL&T!9 zJZqWqCD^SKfrI$E((!aWMdxOIW&4yx%u-C}_*5B$kFPsbhOY>yn9+wqq~Nms<5Oe^ zm!+b<|Hb>a`z>xoGwd7MaraQlde)d{ca&;QxzoO*4*P!f*th0fxBAV#FS#1ltpX?3 zvvU&IJh7_`za2mf`y`8BRR{L&o7da8YTU?!50&x<_ANXo|J=UDwST8O0^b_S?da4~8GYZLF6n74)2X{>Vlw|QGffBFGSS^UZmFzy?)!T6!<6^Zc1A@DsPF}j}Y0qnp!I^2R%vhJi6vC zecWc+27WwBb;gFn&Uxx-DL}MWdUys5LD9CV8J+Vg3-}xN zAn$EE?bqtn2|k5*%%DPn)}fbLd%kLoS88D+TPnhcUAI(LHL)qm0=SFjaU99{wqh+6 ze8%<9iJsi^`FQ{5DV~OPvba^dQS*LXQMJ{I7b3C5S|s>g0pk|#SDv{~Pv8#b#-(^V zqnwW6*eCj1?&%phyX$p1{ERrjmidqqV5MFvEBzRU?}#gcAtJsm1*|j!SgDlCO2iv| z<5P2x>@^?ncg|2Z49Z%N;z?(-u=o|iWjmj?R0u^SycM$4h-UB`+;Nu)uo8GVbLkI? ze_IRk;mMu?IIsj0lk>q648}lS=CBQRso+zq{K{)j!={K3Vr}$d9G1_1<{Wk@z-pZWm z;#m?nd!tMjw{)T3+JN=K%1UDNf?R%1o~K#YT|3hs4D%-?fzc^E%M(K#oi6D=kNzMk z;_EyxI*nj-@~ES;AeYhHSp~_iLo0kGSKM46O{bP6^f|*ID4PF(z=XT87R^%4H5${0 zw@?3iq-vsa>Oz0w3spiYR^Z8JfgQ5~3;hBU7cUs9tW2Na^%R(BO{5Z2Q=n#xt)mSR4IR^ac%E_lM{lklt0`8*LdENUxG1(yNn+`oC2rh)%mlb=|v^A)@h zNB*rZnBwZG8vyJ1+%kcjEa`<1;5sVD%aMz1T!q!U<8vx~+OIsZ2 zI9_g5El+Ts4n)Pi9&-DO$a$ zKLRH#UPqw>7vM++OJK4jg4%98~ z8gYAYyNL64SkaWAqQYa`%XY>Gc8?W`G4a=Lt6O0o1k7cLL?)Sp#f!|D6P>R2R!ivW z`b@U(9GUdCb5tlUiNAg$`IaN|&h`Rdo%H>6e|4x-O=3gxOR7JlyUXe>-D_wMf9dx9 z1of=r{JOg4S8pH{9Ki+udL{L&td=(=g~u{y_7Wx~QeK^uWvdp5$rw^q;aggD$jytI zFxGh$st2B(i21}bVQgwPVO*&8D%4Fo%QjAxFV9mp<`eOqL++X_U5D3%?zY|>P)X|~ z8(Ahje_iveOarfYe(P0DMVZUIi=2m5Ca;HFxU(W;P<2(4MHds2dpdyE`reC+*g?OW zqVqR%r``?I<(0Esvgv;ydBl|Sl9SxC9`koe4X2-2iH7Ft%Rj%+E0XvrX*NRZj;3q9 zpiHb5<;EQ;lj>7-l}&z6G)*JWxms(ucXqKS5`H;I26` ziRE}Ey6t_k2+J=Ma*4k_&CDMuV#zw@0*DJ8k<4s=+#Glt^+N8n;JP^i&e`_9mcv;^ii$7bvbN5Yh1{H_ay%wv?}MIGl{mjhD7*^wvodPL1X^ zQE-pgX=1_>mMokav2#L$cYjMFi{4XC5h>8{JiYmk@ct6%dExUR2i987gdPa+7VCQJ zbBsSPZme=aEY(Ou{SM;frNQ_>qyk6KeN>1m%|dGi`o8g-n)}nbY~=T}OFHyzUF-tt z)kotwsviF??eFD|MHq8}FM6G~Ra>q8lo)4d+&*3%cx+98Q>{N9>?8LPGtsufn4|^;TrsN$bwFA)`ydM?Y)AMVcdggYA8jg6y znO`{Uc8{NE`E~MY#`d=R@!M%4bsT;N$kLv;ey#aepNm4$f7~_qcl_P0$!xcoP`S@n zKA))2kV7`DSVXd322DFg+Bk<+FGG=K>I9EY_3hh^T=eY6Gk2R z-BA&BZPm`F4U5;Y?0@YUrEG!IvdmU~UC`g8@9rwu&ZzJ185iV01cM&h{0cl z_vf6eIOray$2a~}rlZ_B!ybRNvybg|bsyz`iJpBmsy)|XdOggwno=)JIv3EG5K|(X zMbXJsOYsbXfI9g!CujzwI<=APWkKc+0traA*(r}F+$%{vJ1S*m8k${=^FL&zWD35>RFQf zfc5$(;>A2t9wfwLh@UNfFx~N!{FSW=<|NhK`kHGECTiIc4Ba|DlO{VxM7{0U6pEk3 z2V18<$gxh}u5j5p*5a~4@vHdjTIyK>7Q`o0v3YG-d;=o`IS zr;GIz`gt>UE2i`A8u>x4W!B+7-5n8aD-9-1R#{mz?LTv;;J!3Vx=bC`u)jLOecB6n z3sCl5c`wq16c>M{O+W8RtYZ3K1pJMetuIV8nAC?#zmkkhZoAi{S-mitEAiUM&0g-)cEK53(AuC_1db0S)y+Ut4(k z2L(XR?p^p(P4_8JU1=AxsUsuMWkBaG?S6FUr0_f#K9Tt^WBt@|@-pUJBd0JmnPzyl zzL1soaDOgaNC~jq%uZeY{bj_Iz3xxwMxr`n-Hqi)Y{F0MX6MPHwCX+r$y8R<%bdFb?aZCR2ffenQs(GJs4557ibh@}|%(trgSg6VPlJo6rzU>aVVkfRn zo2P7l*Lob7LH_Ij$@Q!3EBi-6(FnwYtT)^eR zJAcmPuO>UZBZ;;YOB#d2edNds@4r?$%v6f5C)=wvk|P^0sM=k&-Sz2M_-xroP*&CG~WZO~K`gN1oBH5Bsvp$|6x_K5?D>2*P=MhhfYUVWi29@2P z6?xz#!po3-!siiSRsFSDq95z^&~QXUv-A1p^9$oE~Lr zMMWBeFC0kCq7P&C&ONKHv!`yKg5Jv(^_L0gvr+MBn+|jH3+*s@q>{J~w@=?x4c>5= zxlaAYw}bH+-~KcC%pdwZpOo|_P-fzQ`>LnrGu$1`FXoh!w+_?4N_rz};ZYUK zc_rkmr@aBMK#z>=m)1nj;{t6(6Uj+;gF?@GM)k=@G0ily6WxrmKc)4*T1@*?dHLd~ za0T_xZN=w#=mRE=JQ872{$JEbGpc=_ds=D}3gsS8ipjU7sA+W1!x?sBdO2m4*S|wPIfOod}!O* z-8H#8fExEked(&2{@l?#DB>35vm@sO$18MhKvuiJ;AOn_dRFH0JN^`O_NT*9hHPn) zmGM1fZ@c;Zbq#R-jVFQ!q70G2qn*E{N^I%#CW0GRIn?LV#js@R_%IlTLm3~d7#@Qd zh$n(y1JmYLbbR!~ioThP&pu`(6L!ay&4(5AfWc@VzG9|(I*ExO9xxI6M7yPYn?^~> z!&bTNi{Or#fU)4y$YNplld#0%*ry_7$g#pK(j6NE|V`JFJ zM5sN_5a-QAcnx+wOoR!r)40g+=%K(Mu}~o4lMIIGp1U}*Csq@)UqY#Z^`2Xp7N*lA zpdp*4J<3qs2BlEEymMrfHEiQaxKBfN!ufg2gW6mB6js~F-n6O9R}NdF!1MuQ)dX$& zF!Vo#itIX%vccPEfx%P3Jo5Vm2J^sfx4@u1*kwoX?0Za^{Jl~9678pyijUEPyQ2NkO~iH@!l`YGje*o1+4QzM^Uj zh-jRXAHO{dFkrnTw5Vw?PhIq?qu*O2DJ}35AxMv3H8HF_NDl_E1J5oz<8eycI~Z8F zH{p{MX2(5cafO~>MvS4{u{oR3V2V7(*(4wU*gHG0cMpYP!p@P8tYI5Z!hHgOy$=C< z-`WT4odoQi0PNiZ*gGE@-w4g#>H9;W**jN-Bs6>9LGTQy1*{SRSS2^*VJKjg9fY;b z_Rfe2<9f9Nu!{FHM!+h2Z>!Mb_<7MsgSoKy)hF$QD;KrUktt6IPnSyRr3ra$tN{r?~aamfSOj7$c6E`sVbTKOwGxCLDR6KS| z-+pY$D67MnhU^xzc}v|d(!d5fQq_GX!5aRwm_Brc~ zqaW#IhQ>>p_P>dM4Vo3yX3{V_sJ;2{#;j2{*M7M%!>S<7Fz#eD%>2@laZ<<(GrC2N zH+qIGH3bc`mJNEM-KfPS9mFUfbf3!HCzH`m8&*H5LA81_VD(3WnFxEk>|UXDf%%GS zVmi5y9wK1Jjr3R(PeR*i;53z#;gpXZfcr=X{7-ZH}*2GZ23JI(KH&#eQEHsnk zLGeH_N$R*7LmAh*=LH5+4!45(+a&j$2@Yy|Q`~n=f_rRVmNniyN-RRceMOKdAsj*L^g zoe$df44QQAA=>sVnsf*36wss&q@q&!DhJ+fknYZYKb4_W<0!r4MlXVJf#*s3ZnZxqSyA50d3|>>V6~ z#_b0&O%S=A3-$737vT0>!0p7aySaedxllQqxg9VGAQiyv^jhA4+Z8VDP2fo0aZia6 zbEEz_SH*$7gWbVg72Ed?%7ESey@RFM+zLJI5(;5bzR$R3(tbmiYI>8Z;q3=8kAf)y zf`)a6*{NFaFL1vjEqH((Xu*$EEx4r*Xh8zdfwY_}6(!rt4md=a*2J5RGCwb9sVT>3{NAG92*1dz{>jtAsG`tF7 z;y73DxAsy8F-trO9xz7C(oqHSFN_#E9tE=cGcFduC(ebJ8BF@PU!i5v1qK^=Q@*S4 z$A~oW8qKNVLfe&ZlO31xf&q zVx3;2u8vE*kI<|xXdt{5F-=F6$lK0h;5B-Um zH9>_lhdlc3dOjcBZaJC-3ID5)F)RC7nb|+SW`J= zOCR8rZonypfKzZ(PJvN8p;nifQ*5bYzU!Yi z4*=p4xXXtQcVfg>009O7_KN&DP!n}}-|{Wn>DOI$yU>Qn-$~*-(1yytlMd`ad)@zq z5aquM?WBQY1nSUiZ-U6fqe@3tU47mXi0w4@oTlEN2Miu(8juN`9ev>Jcq$Yxg5P3g z4cmAU?vn|eohjh#Z0!Ti&I)jL7J;+lNsW!6*PlUKHn}Mf1)?H31gMKQMAiew2<4F0;J5C3;(=NWh1+4nRWHK6e{c##?a(nK{^B~ z(vV_KU!)bum!V9fyXV;kZQ1jHKrsda#Y%0jDG(@ZgZRUnq__!MK=BeAkm6Ll-NLAN zW1H`uqDpb@7Bxkx6sMs|aWSeC2WT6Y#&OdQq;T8$@L$CC(l8)s2x%iv=qvsA5Zux9 zl|g$5yV3Ms=sg5mmH_(27g%1j=-6jQr5nm5`O$RZDtR`_w8M*bkbE<2zN+6ez#3To z4{G^~XTp7~f#r7t%ir1uET0H09}tnXJFt8}RW*>@{6@NUacIi}f?Nd6^5?3&Y`2n3 z^M6G$hnEMkMn2JbJtWUo*U+uAED!W^BgpQ(H+83S0e6cl(0EOYI4ETcd5S~Ugn;3i$h$>d<8O?*d=Z}!>U-8@I zTl4AsEoAJD_N{%N<;5%Gw_BjX&qWz-1P9kmpv3q<)Hi5G0z&QsI0W*qx*-I1r~v?@ z(#u<7zNaof-3mN>EuC{Bc{(IQ8~TIPH!aE0Uxy1$SC zrTi@s@y)6MrH}>v#3})$Z0Q4((f}ysg<*2@D3wzDNcoUHHwcusmO`8hO^^onh^8Ou z(?s@FW>@Kig(r?FbwEuFi+GZy@ zI1cTS*+geMpK~5{TD)#~Ag}b_STma@pjkOgz6hF?qex|tMw@%Yw`uE|2{+qpGj z3l`48l!tj>;iMs$Z02pMK72(3vJgJcL_rpU?RFi=LYSZvgSq9o^&4pjC*_*xVcqjI zgnQIRKWOX)jkquRvWj7#Xr8++N%0fC3HL1@eq%%veg9k@Q0Fp(m0BYsy&pS3h300Y zsj#b5b?9m&Ef(xe+u9fJY2m4`yKM!O7F2*t*eQ&z160hqpkM~HxyO|v2w4oO7nHtL zo2PFM9{x+(Jg#ki5202rh(4|bG+t919iTB${(*-YGp8ou@^?^U=0VG8Yw`&4h}XHf zsxFO2I>T0LD|e6}B6{0(@2|54qfs8;Lwy1gMDEN;tN}<6ZRrCEqC$`$vIYsFZfb%E z;b9F0p<7erSx0D7XTGWv($t|9KzJw`W$_&izn*<2Y)W9@dea#>gon}Qz#^4t1P~yw z2uMdgNE7vJPEkf>dBAMgw>07u!sA@ie3dCt7U7{oZ7hL?Drk%mS(@ma3mWK58o5V( zOVfau2S`WDIv|xPv+mE7SBp+ozV7}vbowYHn(bH~&@X|ssE+yC;-IW0M>J8S!jIR% zqFM|V)lRMV#b8lIk%*fYRcgEikoh;Y&Y4V}y%qzzv+964y;2#l>{?zP2AlcN|FO&- zQ2f}E>=_S*rtKJ3B4}t(8`L8gvRAsFJ$eXKdLcKpx`O_mskl;4u|D_h>7tYM5+xv= zt=s1ThQY<}G@{t?R+1O{yiCczp(OFsP;ddlyCNOh8tX@zfi(C)N#eIAM)Ch`V)WiB z$cw^pu70H4*c3Dz4;p@;kv$C>X$Io|SJ{q`C`vf$<;`?2$t$jI_1}RT4-sxuJXE;f zL4_M;u_f3G`zZkSpM`t&3;=hMit+?#V5yBM&{+8nH|vj#5vz_CX1|snsd`aJdTuw~ zEVifQggEe(l>ZgTVUT7%uy9lcRLBWXA&)cL0(qR(W)=A}*46miRK<;cjM%#$Qk1vjG{J*K|`O~C@3PSDfKi7{X_ftCQ|EuGR z76GNZ18#R-01Z=WgL=f-Hs_b-<7qd%`9o<%6!IV4eP~9NmoTp`zd-Upf1NBAZ%jG+ zugH0SXqw~{2JQ$^npXBek*24UXb3`E<$U`8CgI%)a9xJB^QOD>6FrnIhuMWCU+gU6Vrzu+==N!0(WAb!f=ztlp5(xz@3=fgTCAb z1c18(hRMGxdXaBFeEt#t=(?Up{^)?y8STU)M@Xiar%$+OweVlZRA{gcqv zX&9;$%A#@i{PKYFVSA7T8Ft&5a9wThnA@&NaA>oqwIv=L2E&3lg7Q&BPeJtZ0H0ed z43#?uSex1?0S!}Xqp-*YMBJ@W{Pwgb5j|HL=$vs&%LBdr#Q^N5FrqSfj0=_huc-Pp zNTdr?Jsl)l23ZvZB=t&F^^#ko@5-B5hZ?2v#kXGn&Z#|@+?%rUF$By-u+S$6F z7kbQ--U%rjh+FzgQWu54pz9 z$_}}{pMQArCcD+_o{!saJ~$x3`A%^XQ8wjDvCJ%*>~*y0lq#^)ldPNRPjl;ZTW<;( zSdJM=8>L&+n)g-4!c?0qyxvlPOJSe4ea?3`srwmRK6D#lKJOTP<%x^i2>zgv9mjj0 zl&r^ymi#o=|55mu3dX-$Magw$AMfCYVx=+{fyY-@tv}fX1m+{lR`U3Mn}c1&gpS2Y zo5qL?aJql81)dN9O`|we1?Z=-vpDOREWAL_n7=MJD|Ig;j z7K?{OpG1+tCCOb!!;(e6o$}*sb@M0c8oX!S&v5?jls}s)=?qx*z(TaMHO)ExizYw6 z@S&FpDi4Xj%Wi(jZ|^N!=V-p<^GYkB%kUt}S?(#u6lHiaO` zb;5d>>_$6({$tlL`#)ZzVlOhdvlv+xuZQWjL7WU;Kj!aZ*XzYMA0)A>K3h0^GuR5n zWWj(DU5#WicKh57UIJKp0?LAga9&?&&7tEOW^q09)9yPAbdyD*wpIoXzwr*@@{Tc7N?l|m=u;bV zpdmwT$aJsq@fe8daMhjC<)??&?Hoj2+>5DGQ<9yd%o?gHF^3>}u-CwEb$|VM69@1I z(KwLH#qO^wU=6c`^LH8~=MV+c+6(~xpju~h?>I}l!Ds8Va+UM~D*g!a2dE%YW+Nej zsJvR`D*=t1vT9%yi_cTFDx-@>f5H0V*4sLyWib6#G&+WQg6VL6T|@P(iumiGcn2g1 zKt)b^c7n=c!S3O9a2M?6UK@?YoxQIn)AO%+;tnW-*RX*|6gYaXqLYVS3WxtRD^!dg z`Ux@se*1|)zAYBl0zQL*RwS=M9B5`KjPLH=*bHNvCWc};-f1FD7%d_Aou@HxcQpep z@!`_R&qr?CqZ_!f)uZ%Lifw8Q+!DUv{ussUbiOXj3zwuZu*MKYa)_Fg-p7H$y*?{838Db$lO3!jWUrO5D{w+??nddFF=0ES7cP)Tp6TDT&42;v8CrX{FBwQv~>0^)LT zJs5oZq*V!8C9O(_pnKr2!fR1QLBC|G}wE z(5?!I$Kd4nqA~BhTVTzUz^!c4_yu1zSdX$!uIG_vc@-Df_6C@Q*BA0j&kg!nYopQ+ zxaF~Gan?67_JSAb58>si-KMoL%Iyg9030;r#V%52b@O`H29k}|Udq}(qRh7Rzzo~~ z)|G3f%l(KR>GigQon0__BZ;f-Ca*L8!ucE9>zZej`w13waz5=e_zUN6Ye5OJfYl+& zBD=)`S0H%=M;9l>4oPC1#=;DspDJ%D0gbPal-aHa25LH@*<GwB)#u{k& znqJ_N7p?bV&wI+x0Ou597%3JCL$i)C5(blx-Nu0&fw*)F3&EOon1wLBoYHv1)ZeAu z>J7P0Q}1U*lihL^#eAhMTaF7(75AI;j)Q+4d|V>jFu8rs()Ki#N8TAC96%?zK|a-^ z&kCBv@)I7M-;D#z@6^Q5CC6*EL=(nB*!f_!Mx`+5C{}qd-Uw)Ls@%yBYT%LZPmO!A zA$-LB-~Prz@IGbEuzmt4%b+}ChIKK}XabEm4<0>CN_34#!c#K__#sOw@cC#e%-(kx zVB~c{mV22XIk4aKpSw=TJjkw-zbQ0zsH~5P`~;O>cq$w|&(x~iSvnF7s!g1l&X_Y8 zN^D|%fNqW+V123swep$@Jq|cfmbV$;vY{-5*L)#J5A0)O2DnVH@Zbn|R<@0WF!2ba zVnhZX8HpswIzM6|yzc>Z_`-uyx+5%vvU#mRy3;!FTD_gfl#wrwLbR357A>_>P5nK{bT*^(F<{Mn|Zl3 zKt7eU0&%#Rm#ZXc+~o9X%VLktxv6}aV&h*MVBftI9Bu_u&JSYjt3E?zbqOysSQOCl z>Im_TCDnp*mxDZDHD5RJoC&R~z$MSRjbO0{GX`3)ZG@er`;^>Y-`l0ZSt9ztZ1=54 zX2Z{9FmzrgoYDH0GxYsp>=_lg+B%yAJJ5^iVmgM%@JUO$nx6za(3-sollx09x9gf0 ztbmA!6WTWR0Hlb>5gdKLDAbjgyTTD7=_6?g)(>pJ@>w*7?ulh|a^I!mOCoMsKL0h4v%OxXc;*Yo*K>2dpRr4&;#cPs6r<+ z{@H>N2aLgP;`M)()d$4Cy^7`^53b-~4VgMb%Kil->$VJ`@HaaWjb3c&bosB~fu zRi!U#Z8%CP?a~ITB?yOY5#oXY1t1(o1n%PBA_m{(V^Jy*gu`%Gu4R^kaM;?wDnxz-@_q@T&<64z z_6*uUUJpi)zs7fh(U_9r$xeA#4n_lpGTSs7fKI5oJck+#PF0`?s|L z%Ki8{Mq?SoROUcT1qEU%`WQG=(k(UovVk8(n zMRzLjppGyS4*o|Ko=zzlq>FpgNSRH~dxr(?&*l(1SP)f=a96Znmjm}_a|j&-*guP; zl>_(3JzU3<>i&3<3ZR-dWi|r(sT~kc1u8t7?q>#<-1R@H@VJL($V@v1Q@H67+wYU~ z_F$TDg7mm#sv;Q&iiG1Kb3!l>h_=hD%j+S_W#a2HAg#139Vn6?G2B1n=Yj$4K#{Bf zMe?@@@AuxK)D@se7J(wE0E&bIq)Z@s^&Eqe5Xk_9>}?@iI5*1u^G~td%2S)X`u|HT z7pTOk0eW~`>;+$v>uC)94{j6#Ex`@6-f{Q6@l_0qJUm7}@>0kFZTEDX{?QS8U((Y0 z4Isp&z6tUEn13$B@en^U5aP}dV<6O1A+k_b4L8c`XQ1(iH#zhmE%D_DaOkO_^R+gr z|3fq{pe1}Xw?i+q(%Zg>4O9#>jX)gGh%J7oSms(%rg;6Gnxe5Uzw)(?jT#)g-|VJHsDEIVl72I$}% zQ*xu^AuN6Zn)gkOsGo*>D&;m*y2XI^L6!k$(cmg3j)_I%JjgW!7NF;Pm_ad-y}!$? zJoGv-qK7Beney7cvx`SwmR-0qS3BZ+rPiOxoOH1W5GtGlp~5Zl9E1wzK&Ws8gbK+U z#Zy60SHD8E7sS8^C?Q&hI1xYz5h+EX6!1u|y^@pK-fqAnNb>xDwC13EWSg34Ci41v zf1Sro7D7BqX#OMksbftZ3J~D(=P|3?3|_k)lkCr9b|)xB4{swRe>4)8prA3 z*HpN4P&|XdOTMgfxs01E`0Qof#5(oLUjU`ju>n$ziZlUYQ0?2Ld=BD@h^*-t19*i#+y%!+y zsT(^J%G*vq;HSIsTp|C#o3mnepEw5B=*DR8fsmE{)HHqe>62GIK|1-b>XmQB6Cj;j z;V0M*(#e}^Td2Jy$aauUP6O%WMQS>kJ`QyoT29f-m-GbcFv(y{Ar2EYPCN2*oL1g) zQ`F@D7N;Gh@2uiBke3UkB}m>yS3XiCa~v7O575JW6g`aTeEH$xjofvLy5saCT+Q73 zsO3W0oO#b`yhuO=&JBZlA=9`+|2X&1Bn7$Xr)(0UZGzgDVm@e*cp$|MriQ8Fvvq2abSVV+TGg!kED{IM(7ZzTH3oUEN=E#yLW z3fZ8Bsb#8&W>$7@TJW6U~hbr!b@LW!f`=1@KP5#^i7zgPVOFvMbP};2ceASvJqSo4un8`(O(FzVTgPX; zVV=v6t0^Xne)88MRZeZMyR$2-frdYd?Q@-6`v(SRg{GRLgs|oY=I>B8I zP4#Jp*UB@Tdiw6&?#r$j>ox39p3;=ERgabAZ(-u_v)qCoUc8r|#o;#!<)TzU22_Ek+;iQ%?DY(9h zm(@~_6&R20)TzvOv&OwG@BC0^7~aI*sS{D>`e3e1!L=`5*7n=0UST?C)&C;sP?$1J z-O*hm;GzXr4rga_T&O-IOG=+VIf$*(lsc!*d6YkhA*_1Owc^5(tEGh{^mi+HU@WLP!UcJI^_Z>-t6`JLX_yRr={#+7$Gu~CcWwjC)A z8Kru)q){Va}7kaOZ|+$qPplON)-hPu*;^RS%KRvD#X9Y1ARcw#zes0*m?BW=C zq*S(pqf}Ohqf}0Z7d%8tV3o29XJCASc zH7OmpEyCqBusUBTvOU(DW!UR=?a{q{*XfJWc{4IFL+o?c{$)~bxBkAeK8EZ%X4~4d<0^u09oxfFRa>ul%m1LUel%D2 z;Epa9zN_Z_CE&+yO6c3}$-YP*auL(5CYWUf4mDrrs7}P`lr0LSf9O`dCcWG_qU94B znSA@lC$+4fEWX6QAX>Gg0P?SnR!veCr?oM-xpB_0sy^XC64qUfz~EU%}k|HWA7cma&2X zojMwaay%{NZ>xWs2w?Vgf~jBVlr%aV6&Dl#=a8i(DST?ws@c5-O=a&#ha$myI&q0 z^_OTJ_4}s$PM30_U|Bl{nvmJWyR??@sXRSR&T+@??&cc4WZnb>2RUF&W&*vEphS|b z&uBUM*YA0(7yh8AKWXH5-f*n6CsOg)vb@CWdU$%bmKUt#l(9KZOaJL&TP24=MEM_O1J&}PXSt5Y-)BQI64BV2l|e{6Oc~8lrLO@ z2gHD2&@wOX?q2!4aLYHIgk!AY0RA{x`4-x-pU1}FpN#okc#>1=p1@b`fTd<_#rX_~NAZc=44v>N zZ&Xz$cT81>;Cy{j#BXwwd%~Yp7fpb3Odl5Ky_qdPz_>r+Y@OTfZ1`6=-%Lau{r$+u znTW#QbeYD+(?10KlU4s$0Y6Id_|?G`8%yIXn&0Cu5V*Xj+B&&9+ByWcOXiS2<1Y|6 zH(hNYqa`OYDs_OszV$~LHP5-DtNm9p`W}#FJgGCm9aH$5$q(?YC#Opn0Gi5cH@(Y%@QQ*uOR@XGDj z-z91*U-`w$VR>|c{A-0}CR-v(*3KM9o>@Nqr{qNDoiUk70$Px?DEa8hZo<=_lGAb? z_uoFQyBW%nEWi=Q5%p8tfwgisjQUEQ!}@Npnvd?p<@_cICles7vVbgT{U@qJ*YUSi zM+x~)R7Yv{AFEE$NV8JFMDK6{N&=P9KRt`w>Cs$9f`6^F>A!@m>AlVZm2whS^qdmY zjUm?hrlhFRxYQFI{uW~!qksz1;oT5$Xl|Goy-tdW;)>fZaBOaX=E>hUj<(Vu1;Oiv zZfQ_WyMxdoyj)TJ=J-tYSG^e_(eZKgp}E~!b;)eaw;k1T5(0SQ zfa-h(s`J@_Vg85noq>8_bNqqLAqFg>#j4IzpgOH3iN)Ki$=@?Cta1HWb@t4B3pM^y zb+Ul!IAIj5Zanib%DO|EVsS%!NWlvhcj{lN^S&-A!ut4s~ zmfyL*qdHYbbDMwLk8^^zw*IE-jH3zvf$EH-1OKk-I9ZR6C3Pa)FdNIet1D}D#BO`L zPCw8+1Z(5RTnJCI@x-d5Je|!|y|s%?m20)u_6uuu6aCi^?!cJ4IaQqYd~tTHi+6nj zSZ0i4%mtKFh0$cq$aP#y71z~$VQ{gw4DNWsbG&2LoN&2E4kvtL*7j-$xk-*dt9~Dx zGSwXr$yUA(bb*nsT(^}CE{ect49qDWBj00a2bS!#v60A^QxU5{7AF?^S-QuPR-VU_ z%kMD^c%aqM^M-TkWM_X*C;u4AqRI-S4NR=dsi<`L5O5o-{BmWc#+?B!A>(+qNL z5riZO5R$kvo0}oL4;7F7b%0UH1Q9EnOVGt7SNP9|#Sdii2v94Bm;{7Kf8w7HM*$WL z3L66nu`v(|R1Gr?Vqhh3P;0<=*TBI~WdU)pBk=|crsr=M?=Ql3EYR_Tk<9*~W+)%L z@#Q8=ZSW*r``tsS55x2OhT&Xzzq@U0?E6>tR!MFRHa0d&BS02qeh~QsX`B^n>jR?S z?;9K6^LQMsr1k%ht^M5BxUF_&sWdUeeiM%DKMfr8gA>mU0wBoUe(q)XrtmBamY;ZT z5CB2$HlGyp80;=+u9T%m0H^;}ef z=DT991Z(lNu@=83?C))20E-X*N6vfXZ%QT~pc_IoRq){05KB4%?4_rWuHrEtAVR^) z#qZ5ESbOx|>N-eq#}-N!%Q`|~zq&|@m=+`rmp1lfV|KiR{<*!;~sobKj{ z#DBulwdTL)@q4i`Zuj*p@+jmt(E*o-3(kTEA=oXV0g&)xoB_Bye4t9>O73|Ia54MUh%>Qhw zDDxj~6|J?-5rJgyk2^RMPtrHO{(tP?{Ii6=U0qH?U7dgWxoaBpzsnV)qyQR=pBX4IT&&NRl(X zkf&|MP2FV2NCi~SY%}<34y7B%n=ZT?8f_78p@$-I`!8x6Afz{rTgDzD+-u^Uhtw5N z4V(zcuys<>^$45;G0iOJn4^{{ogX&4tC;zk=BlH9tnJ3PW5T9lz9fpFK`(tQUXvOz zB|J;l2ew;(NwV{o9L`RZCZtrm9N6w?b6~s2pkYc^$8~a{BV)`?QGro5i``%fQbh4wi9hYzqdq1G#gq!M9h5!S2!D%;O6F zQ65+DH$zL*I9lKzkZBwZ|6k4HT32-rE7#!;_}mjtV&mh9`Cb!o5>CYzP({xslZkLK zG*|X>o=p~nVmidcD9;}6^%zYSjE~i}?p1onSRN%SF~twcz8%DHA!xpWlSoPSG}@kB z4eTXNgLLj>Gb!iTf3z7Qk$`f?w`{73u=*T97rKULLDELdY=blo7sCVKg=ybl%J=L$9QgB z7REoPkTj3sX!_6xh9MZKkC zLpM+9>&PhGK!p0?ISp4hj%q26?p9{hdq`d{ifsV3VeJ0dM=2(2lAUe*kd1x3r|6UO zQf98RQb*cUUNUS-R7Z2;SYUNT;NxlMGke`D{HL331P>Iu~CerVdg|Tdg7L&(Dv$hb}laE^~GliXmJ;eYgN@hFfYNsubrsXprT6jK($0kMcqSDT0crx zs8B_yP)4XwNT`rYsE|>pkV2^NywH6#=?qEV<;Eg)d1V=83H-o0CJanPL@Cu-QF~+b zU~k1=O5lmj#tS0E%R=(RB6MJfNR@Ka zFOFWI4X<4PMiWtlUW#?Bjn6obq2D;qH0P$D=@F9Xc2_44DbYz~S(K~4%X6oV*3WOK zzMn^9j3+Zse^=?QI+0yBRYqYyk9K{r(hKwYgapSb_eOW<&SumW3VN0AY9E&#AMr|e zU>7gZR^JwyuWg`>ooK6MtCvSlK#6GUy^C3FL2`&$Ebm3@SXhXF!qt2M1(&rd>I@nd zeg(lkDwcbc9I|#~ zU4cz3KN>c!8R}k9skTYcS-fq&qC&ap7)K9p#Vgmj(Xg@6qx6=AKf{}7*S1v69Y?mcDyPcG z=>|u(%;7wp7Ue3PmS^APDkHC6D|9?a#lX9{IxJUs`_mx*Z-=y&fE|)Kc1Wu~hg6V= z?R%kX8nc#|>3C4>VivQO3>w}CpB&ky*B#l8oGT-LxK>8)jaTZl*censs;=~N&3qc? zGUj>lQK#iy@RLdualxnJ)^BjftL9yFQru5$DwhR>BY#en#eyT-#NAG=owyRmEJBw` zh0qaWpHVJSq+>I8C%pBpgmugV1|lA@^nfahlY*KW8{lliNEg+a6#^XX+f=vSjVUYM4QIY#epGt+Jhm{#b-LY zjER)AhIFV_q8i-QDkE*ca6Aw?Eib{GxUG$G&D<^2X~}XlkFiy=j^T~H-OXhzBb%#p zJi(n?+{#jn9a6I7|I;BUoeYT&JEZNOLrOjwk|P*WG8odq0hlGdSkP$vJF_&*7v<=p zYaUZbffn5MA&0km7D<`Lpr8A9a~-uuTg7Y!;jXA8YnsPYg#KJdyb@M1sM8*m??|^D zuigfSbr@WJgvI*j41tLDxb>`ay4t3-HWDVKh5JUm0R zh0uAxrj?=N=>qy(romFOeh(&T3rtc$8kgYo41gYoPtOvOV#{O%?G36$ z{j^kUm1-H?S5NDAa>s3OQ9s8Y{Y@SG+w~lOsTwvlNMhs8%kITg53`;1mrFkeW{-0G z;ca^w#MX&(JCC&5_gz0FM_c(vJh+WI6ydA4Zd1LDuuQ^av^B3bK02G)_z0snPH3Dx zPB`Pcx9V#fJ4iUAP`M*1XoA{_@gF?LBAZWqtOq`me3G=DyFjGyhR3BoB-@xFoz4+? zh;ZM%Xj=FNTqzWq7ww3*eNUo0(rX(oqD$8~edhkF(?Uw2rCG1PlQUy1i?*yo0+t7) zF2Yq4&{_2_V$zqFgZKYb0+yHSjVWJ1hu4RU*@P>Z#Qs#$`i`}}w|;Wv>PwuVHXm~d z_vFkJtJp6|c(8SxK)*MKR}NumLdWT)t#ybJqRahLA$vUAFGg6_I^OynCz#A*D~ovJ zpLgbHOOFwY$L?u6bI0etWkZw(G9XGJ3ecp|V~gZ|aIHR;JcCiJlx$t|c}MO#hjBU@ zHQVm>y!}yaAu(hkK|68$U_YGOcE+3ns|p!dqM%1+=|Mf#+spm=L3(1N=Z)FS zeS^!$g{IW!-)N^YSz#}3QcZjvwwR_ICP$QQ7D9P7gqW;mc+m(Q- zl?ckCds2l;cgV06ydC!Qjt8-Jp`JtGnpWXj^2^)+^ha_x!@NPbfpzk(=5l5Z*KUN4 z7FyA`!qu$Z)2!x&>M*)jEB6j6tKI%FNXFH;JNvF(={-+<>z@-59^Y%SGO4s>Z-$Cfm= znylXVGW&a?d(64f5vtRWQ~I5{ie4Cn4aCWUFoJuTJqFGrJNo>ZO}s+`r$X2MSC5_l z1m6Z?eFARs^uNf|uPN;OfI4B36R={t1w+Q#pNJji;Kd;TmnoZ~SyoC_HwEMYZz z&URWclp0=_YqQ63XO-6P>sWnf8Bl#27Ah*Iv{Y;bw~XfddB<&#%E^2b{jI-j#n5~- z&j$W=jLNCX24j;%1xM}n>rlhpB}!~Crr686f!{A7cOC-d*q39!a`wH9`-+|e0Kufe zWBTvGV+MoR$GinrS-4fEJzE(0Rx`OkW7t4#y4oU)YHud6x2)jF$FJz^M(_i^y$#Y< zEYY5h{@^+tee0UZbadc!koMHxS56Y=>1YYiDR`~$t4Bxj&7gQs>a|0@RZ7PYk2Wq& zi_G~ZjqROQ>NqB=(%|g^dN(G!2I$$vHyCwT+Q!O}v|Ex!HKT9=li0sY+Qvgv7E+{oK9Bi~C}AGL zKQ(HPr`Q&lgqgQ~>VVqV$|~OY=bcU3QuT2y7hn=>j|R0|%xChV;Oe^8GaXNQk7X)Q zr$=E?gz4(56D#egxWM5FnV5-qZGOAd-Zr$v`1cu!nTdNbdY^>{zo*M^^?WaQ`q7cA zBi(UTcEgWLmJLHufl3;Kr4sJ=9p_4$&3EX21mOrYG&p7Ww&%E1q-tEls1CO%vQi(#a&mz|IS5!yK9c<;t$a%bm) z09ApW6m%$wNTr(5JT$m0QE=+)!kZBQcxb>^f$f+z7u|z^Wkm4)zYDfsWBD)oSN~f- zd`H9Km8DK}wxtf`W@!>Vdcm|gDe3@wsd2|7`>ZM`5jZ``5g~*8jKAo zD~v#wmdM~>x;j_Spx$S>Af2R2&^MujeQbPCuS_loEgjf9sD}WJ>w(Ha=ydE=DYydM z9n>ql(lZF0bYUxS(#ya}FY{*OS}xnZMHII3t$*dZ!1)>sG%jawjl|rd2>v9W$7VH8o7_aXf1X@rCM8GXA6yWmMF6dYxHkr6aq3DV#zoN$T)~4 z;~*fTAs}NAAmdj+#;;g12BGy(5m@{XRa`Zud4AuvX9m`W=8)_#vAH)#2~4YY!DStS zQ(~DW?s}f}Npn#JP2ZQ^bo{I2VEd>4qF+^DFvd7*IL3itt@WI(o?_?`_!pNkx&fbR_@PSN3hQ8M=;d*5{#Qr2L`&DXqQ`67QgNpqwVQy>`_61YVRhB)KbpiC<9C{Z_vA+h7iPO@g-RxB@Bi`FnbS9=!6n zT`A;qD*UDPJ2K$V<+9EIYf*uesQpe?C${S4Z+&k{EOmQD-y}m+Wbk=_+QhKvZXrY8 zcMJ(CreeD+hy@*#AcN7|ru55Dy3B}Ccz`VMZGyyHJ`iTu4Ec2LI1W)e?Xm_ZTH}-- z8V{%&mvOdcLcmGJj?N+WM*R1p(=Du}^-sFSN8YS@(5|6_e^eQ>jc6+mju5O_zTV?c zbEDJO=CLkKfz}nm&h(HE`Yg0qe6gq0=6P5u4#}(HjHUg|8A}6>U+dXY@#BIU7aWhR zKkU}%CtpB)--dIKlFaW#K@_YEFz*s#DPozguLt|Gm960H=cf4DMv9W8fb(wUMU9^Ij(MGC8Fn)I-IUezZ+J$(*IZJzWjb8P;$ zz)h%Y9$`6?Tc-m(;$vAdek)MQpb_Lc$GMQrU$Ue&z^qD1C z?ipGnxPi|=AXX;l^Q__>{eGtb)Mv6j5LG}RSEZwJ+1;oRa(}%`TKclPMHurJhd}0Y zV%2itlca&l;o>)@!o_!O?$d8M+@~+7=DknPbQP6z`uMUH0kUuOQWIe%L+GvL=L8w} zW&}nYz6_z~DE!1T)UTYry8h#;&j`k$@lt}BAHDj>o}U%mK&lh$&G}*Rya4d*_7ktR zsl7CR+uTo_(pD;zp4ta*=n`|2pO;>W0G^GH6@h`D6~Ppzt<jK1n(J4{3dg6<0U^`?zrS`RtS+=Uy!yU_&CC4 zN+4D=n9Q)hoMu2E2AA`j+zK=zsQwHZfq}|?05v~=niN1y3X7T)J=0M8r6&0@hENSV zDSGZ@Kk=_Goxw@v%IUag`UFO10682wABKIU_DdqDwb4r==lv!}?Y^4^&^wM0``W?{ zq_NNiV4)+!LKgs_6DI-C1pw%zL+ROl@H9!7n*soI8UVU{09`&7I%xo10DV^qfbOKS zC{iHV=3xcUNdxHEe29q$0CbDlX^%95Uh44GIrPb85s2~r3Z3+N*RRms^a=Szd%`jR zbgBS4HlGZF_lzb1lewyR1Khir1l7fZ$&6+CVeF^b;PN+pxUqd!Pu0l2JPiCuV5Q3} zy&fg4f~TkRP6dybL<0{N!hSlgfc><~P88eetIbvc;<|O@-iY_0M_&Vv*RNg`k2hM9 zqzR8unqHNi%=^OrI@uSf?fr)&2_s|@%cTSVi-3jvSHN!lCt%J037FFV4PbiO%St4p zFf3pWKLIN^0kQBCtIr657tk}iL=7U)r;*(x?X7vejc#Id3E_7^^6)vW!(|UX- zsyAJ$)O}jKwf{xGRw);f6XZxk=WJUQL$ #3x{G6k3kNQmw1{CPN^q!AOZXg}XYj z)d+v_gfk>Y$gFcj`*Inv@HIsPp)Dl}t)Dg5=%Yw}%N+ECa1~N|+Zh=6u&|VDgZJUQeT{n)M$Jl5vv#=XO-p!O>5!)5Aa} ziJ?o<+iqEgI(yo(qXOW&sw*>kD-de4rT|P+8CYG%;B0}UyZS-SeYMy#yUN`OJVG9X z$=bDD*Q!bUm~2*1ppH^=OLzJ9FjUyeXViui89YK9CTvBM>oj^L&uP@T95FpC25#T6 zAH64qt)7l>ER~5~xCZx6o!(u4Cd{RRM!gh`O3zKYd48vtU_h1WsZvlRG#usoD`$@H zZ&Lme%jFJOng@t~5v>pTc*9C1kfaCCTwgwTR&hAt4UfNU0u5hI{(kv-=P~mT{F&vt^HV@aQr4NgYTvqy=Pt!HiLI!om>oIpV$G>AwLw()~ z1_BPB3n30E^1gzh@){^pSlj3Q9-}zzjm&1=srmy4XCt_`jXCYoPK+d6yYTM3 zfH0X&r!~I{4H+L6^oss`EJiVB-#6#B+jod{Uhkygh`piM}Acwrj@% zJy2ENi3Nxkg%Ht~YmAs9TotPrH9UwWSkowbWo5iQ&Tz&uXc*a-4zQ3>?zbM0G~Ihj z(j*2}X>~pbsTvssM$E6EiM4ulXfMS3BODj~JzQSDF;wj~J=#kleI1v#wvM=|^E`xp zOOyt(KD%_&^I7GA_Y({2i|tm8p4b3^60aFb-S_q^N=JLSPkYgIzUW7JaUnhFC3bPo z!RX{d(Wh#}*YKzC#)um(fI}PUS-abe7Ek*^%uI#CR)f#u=;rm;aYZk9rugS<;_6*p z&4xufJeE$)q56?;_PR1Vtee=~;TL2gJtxh7u_GV1ap4z!;p!~@w&4~o_*_fxS^W2O zCZ3ZP+qlFWyL0%oAj%S>48o3ZOiSetz4f@TeOhX$+5(DZVw7tbs@59YaJ7;Oe2<03EWQzQPs{+}(BcUx zN8YiiN8W&N#DH*ga(DK<@4doSI3pZezk&6I7!b~La;aYDaWpJHo7Mg?)9B-%ml}L3 zhu+q|BGFAe%KvNNfV@0fABRP*&ue#kSrcz{(37PRj&koC&OV^r-L z<N!aq7(ll_&3NDq;S8tS-vSL5>)=gXUXndrSjZ7hTmhie zPSgPo2&?p6cy_cw34|EK%RT(6iB%SM$q==Uua8h_qHzmDTdF&#GgZ|s=5$&fQ4(Z3k7Y{Rl=|ay5eU!C zY8WCZ4Kiv4d8`!B;3T=Axj|>O7k{k8GKus8i+JVAds0Z5Yxqk+LNee0*nlHh4bUJ}Wn#1oG4xQ%w%v*VX#J00hTae*&S2Tx{? zlMI>{$Xwo++uaXy-K0I19p7|Zy?{&{yV}I;Xm^(S$nLB#cadh;S=*)aru0%A$8FkY zHBfQzwm~R;jfiLC;$*(;%|)-{(Moicv#ElUuOr;R0c(9h7H08Lh;_Z1U;9vL4E)OJ zU)k4U{{JZZBK~jL7vw(z0n>ihFGOU!_9f((5YnXVgtxNRNT(#rV;Wrh#15~WWH7U+ zk3`|3xg#rIiES}S*W-8;!1e<~%NzICr`nm%>B8ce zzsL*7-aj4pM*j5Bx9i?*1J}I~hb_$B?~K;1N%#MhM|_TFh^76+5L!qE(vBw}pU?vN zM6Lfb0+dr(^1d4seC^oBi65S}ODMYz!Av%vLCdv^GggiNN+nWReL$oj_Xd%I5kv|y zZ!VB9NT`D_%P1F0j#DFEKrn@;L(*^o9NNeL5Glwd(j-WjsmQPuh!l+T`j0@g4FHis z1#j=df5|6Sul*WU8TXriMU(uC(l2Prsp1_LvYkE}B$H6Zdq{Fe`ei?xPmJZN5(&cH z4jM2?9$(HFYFqh{(RpU}nCwdqKYPGrU;KR%#Pi#1J_A-aq}MCjl>$i<{VE*5~z#lT60735;6*j$VeAN(NOHd{sTAhP1%rOH95wt!E!Q}_KGue3WiS<@JAcE3TK=4WN}VHKtR7$NiM z9xUk=)b1UI#yq%uPHS!4oSWiR+G3)ZVg9Y8gNgWo9k*k{@0#2c(s)6G0R&Wqc)vOx_Rx< zPDVG*V++u*p$xsaPUZuhqYJ;dpLxlBeb7+h?s_%(Is7^etNmLKu4ist@Yzb z+jqXk*hdo+cB8^%bvY55#OGZCshk2Oa)rI)NIq+K zl#{P0Dpr~z-)cM`+e^?o<5I)F+2pp>#I!abOY2f|i|RFEg2n||WsD5dh#}ncG%vos zY4GhCcm9P#3cfuGzHNi3{<4;yJ+kVwordGI=i>%f*7|qsYPBgRNUU`pFmOki8Cokd zSu1Z@DHmBOzp_%6vQj3sQtq)-{$N@7>BS~y08@->N=zu{RvSQX8I$1moz3M&*`Xz& zfMc2d7zh7n{cCcoPFoDQViXJ<;nGi7h@iFATxo$fSt2Tzgi}5wubeeV+fKXwfLjY& zwPeIbvyPncV;zAQyn&Hu-_tB0B~91RzPHdvq3l{B9l2vbqRej)$Vy!(9T^n+);9C) zrk4WvT$(kuG!At?)<7pBWr{e~r4I^`MVE|ku_!85Z%znp%CX&eDW{pEearZViwfQ? zr9HJAi~jRtsv8|I6YOPg!xaOAOBXDeIzr(gB2weGd>FC?pdte|OQg8{!EnVXSl6_0xpRLFt#s=SZAG|8C!5PDM)IV#akC$%jG~`^ z7niC@4r$vieA5=n5hrNs{9$Y505NYEM#;R?cwd`O=v54)ZBCjl5J9iWtw^Pqd-%hV z#Qeum%IY^rAHIW`LY{7M@1nFkwi-sIX_{FUQ>ka=pV)RuvS?bZh5pcTNbQzu8*kEFiB zMPe|dz~wc%;l1@M-BSKH`ZdCvmXA1b8^%R9FS&J?$iLw<@QV5%<`wl&E9GX(ZmIav z#Cg}0!>c{GkK0F><)g-p?;Ht0$0Uj}&QwI3I&StIB~sk5xL>5Y`81I8ITw6Ag_9+cV%?yYpVDP9_CBZ1y=aU<(oT)DCWl%%XBtFRd?oUG%#N#qETbb z*i(6*-uno1Cvzg}9@?6{$)GQkg5_k16Hl*EzC-2*6UrP;GI9;(@gXQtKdSIUT)CVL zbYI+w`4mVTUU~1lHmEONPu=Osn=lcBI);svyJrcs)p(iQq zVppihQAMxlHe~ng?h#A|Q%ydY!#C%L(6hgkRaT!2PRp&m#y6}-gL(~d?WPlL?9!t} z6+>LV((%oq178WCyNZtI1%v%EFF`hBn?=%MuZNh-YCq#u=5XOvwvgxju^Ge~_hcm6 zC~Yv>sBVT@nRkPG$(rR5zH`R7U6k7Nuyp{LOBcMz%^d;7AdWBcldMr6WbB8?xaZiY zeoj_OmF|jo!sBZmROxb#t9bCkj%f>bKwdrJb6Xt0c+(tF&0KBFskgfw};&H6bY~+s=Mg(?&z(+c{*p* z$G7|!ub`Vljik;n58W*~t``x_Nitf*(Sp8r_M(V8OZ+ulpzfl|#mkqbh-`_{X`ZqX z4|HE=U5UM*tBXl(Fx>pcvIL2AC38S3Gnc;3Ny87$&-c&I!jyr(ny5-nwbcwgib6?L zRlX*u>vqYg^0pkO(>)PeL$s{M#mg$;p>JMaxNgM6pdY7r-=qd3z;bV8k11Gi_tS@Q z-1z3eYE+s$?Pa_KGE&1zVbRo!i6kUU;WGxzt&MWgfnPKPriMgg1qJzw1o%JPejjz~ z6%wU?wt0#@d<)-SD9f=c;Jo6H8~6|JH=B(pi1^HZ5Ht%(!o-7&t}$E_)1ejUme|X@ z(Q-RZK`QJPvo%Moe#3hS*o;m0Wdqk3ge&JNBKffDEchyHi>{Pqtyi$%XED`{E5Yx% zSB_rg)&H<{3*8hw7j7oBROmDl%e%XqGzUdt zb4~s-(M*{*;evQ!Z8&mf7k8gPM0}XXXuvksr-i~;sCJ3)`>R(N8n2qJ&wWrYa!V88 z4WJ&cx#Gh3nglAC{r2-Go6m1FLscgw@)&51ZdQzGu?($n8C)FoQ*`=~YJF;jTz+K8 zZ|M8slLiY}&&k0L!304o{o3J|Uq*|UryG>6>!6FTFlHPE34J(dxpxe&JikBwlxB_UT6#3 zf`@$NM-ko^!`IHAtvLNURsYMeK>3)mm8}!YIuE(MAR zYziMk`5x>w9*AhZiDo41niD>p-m$=CBbrxG7(i2BY|a2~`+h?`I;5*G6`@%<yTYX@TJYX*M4r2(`H5S}Xam(GOTqmPrHZFZbDcLZ zMnK|i>OIbtI3ZT zjQ-seijy$)cA6yp(9gp8@sd^EkMf3Z-30lm1Yg29e3&%xWMKrsMkF+*3nh~sy5WVVY3p&XEyC|N-H2<@W=g)#nnfS+NTpB{>RQSB zwr-AuSCW>22}x2zcOW7ecG2Z^+FPAwrg7Q>ktt%PWLd=RO_v7$mT?iuWa~MSLyH%* zsd2OIOi0c-5~dFV8KXPI*L z$$azt<9CRuhVD6X zmYq5BLwDi-AO;P(=WfAWHR85)VnGX09wrh6W`lRv+u6B-yxBz@l%z;rGH6ap!fJhu zya}66Q-?_QZ}6Yq z+!eQ#nZAB+x>1biM}Y{bR1?pw*B7XgpI(Gg)v*y#eN!@fD$lTyl`cEg^B|<5!jhI* ztm>U+*^%yPOJ*)T(@n3rMHe3eHzQe*W++}$VpFqc@ecGHmZ5Nn#w2wWXIkgR6`>6&#C6@+e&+h53hDr`zOJO+|@->+0 zKdw)#Imm29(XETy>K*KrFz+=ctsTlyybq-bJjI|$bulMd0XNx?iVy%(>u=;u+{d)Z!Rns-90K^}_22Jcc8ebLe?zpAhrn1|YhSjjh?e5_<9}&@x$>FDX3LU2t zd1a?&m|c;AA>SD_Uu~|t8D7cwtndC+_1n3;Qht52JoRRNeHU`1{rYsDh*r{E%$bWU zs>`-=#aEY>sLft=JFDK9C-v+xk;=2j76iEgwO)_EOg|Ux@~k)eA+a+GTVnIbzL;W` z)a|4z$o(mx{78HU)%_}a@zf{J-0hTX-aW7E?|5n@WGUI2BPrRY&(A&PS?jEO`_5OXdrxyT+TFao)2H! z273oi-7ih5gaFHw$HFZBP;#AEi2VD_4HPeo^O5ykYwrKOWPPY#lo2|$I~<#VTWj_y zj?PdnIya0%lgS0fB~n}wb|LSoRvd$rxm|23pOm>@Kckd6cd;%HZn5qlZl1xzl*hT} ziWf`GQXZG#6=&<52gk5qe_#uj3AyO$JlMJ708(%Dq0wBHVQZ5a+YI5{G)V1Zjk9Ho z!M330rz<@8?|4s3$R+_QlUP(V1Y0I6YzDojB{9Xd zJM)jv4s_i3oiBK_a>1u}OPFPGyzs-1T8Qs33|-8L@T*^(E8Why%~&JX&mF!KXoP0e ztO0cRl&plC?e~6XJ=h3`y!rIa@H1-6grDRn9wpwPc~l_Z>&jdH8>9}hFs}gcOcw4V zELC)q&#DpeZ5dwp?VXPo#T}TgKDwR4D|NPamIv4DZ106n(r0^h#mR{;p6Qi$JylYf zbJG^*kpm&Km#gUl&SQb%@9Ts4Au+UyX98=pp4k)~Z+q687B+5Gh$*}B^yw6OWoZR& zd1u{N!0l;$K%5bS&-3`jRi3J}ZrvTP}xN`A>)y>3J9y3OIMN%A__XKa;oQqd3Y4o1b z=v`OuomB7bRBtyU0Ti*!G=t#Y=Z~vbuXBtCKP-^oiT>RVyrQDyY?aFFo!(^|*Ik zt#?ulL6~A{tFIA_p8N-q317NX|y2tT6*Qs6#K8P@dd&56D>6l4P1KWoc&KHO4}aVVPU_o`bmV5+}` zqav5%z21X^@n>CVcea^a`(cR)otMLB3Z=aHY9e8cbqDE@aUo9cLj0>DRkqJFnQP46 zVInljyn3+6yP?vuI#)kG%l%T5JM&b`&MB3b2k&7^>5;w@wQSZs)OTkrU2!uJmi@_| zrN@R6m#WyglMwtb`H|?M+M(p7ISY@{_MtQYqo(o1{dUstWQz#X6k2{2G zQR}B>*R)A^h+c8=tjs!*foLbK8{aA`K|Fa+*PHZfKY#z{|FANr|?+C+&+iaexE z74Qz19sP`U!FwKg|CvmA19E*K3@RRa^P{^#9#<-FKuN{x%5YWptnChB74PPoRvaGc zsdO?*>Zu*@qVpc5w{tob?2O5sTU{DM5mPm2J)zA2CUwDrGCrcGQ~_QmR_P2EGvQM? zin36O(@%kWc4@R+NHbx*c|M%;-{oZ5sgg8Clm5aygV(P8#cM4t(%;$Z^uMz&)+cBn z5sY)>tE_Y6?4cU0dh`X4s>O9}v=+7kxMRc$?pAHPG-|ZOZJvK9shc|QgOH2>PgTS+ z6m$~0HnEebfU8FEPC%iiQt`A)<86k5M{*LnsbsGZl5Ah|k>q-u9=fSo5gMavz+ysT zk`P!&O%5EjF4j@A14m6RwHW}uKfn=5F6)O#+v!hoveE6jPKP`PQ2gpgf>!{ z<@>VBz%yiWYhL;+L-_ld(zxf)qGY!#EhNEp5I5)q| zL~j>LmQ8sq(8c(rf!-%CY(e}*UY-1)_DFp6M~w}pH2{U<&r0v zAZn7;pKk5#x}jxreMqBNqScdq8^?uu;;rf%qIZMW>k$PD=II`iIxlupoi0Xrm`BZ~_g2ON1UT zyb~&B`RGCTwzT(>oypzS3eq704k1zs(ka~_&9_J2=lQ?C_4%$fGw00NGrG=Z?>nyhy6zFXBR`rq zm{IbgD?&_Q(b!orAwL!!OP((7awE26v4r^frb$8x%j2&eBlEyT?8>l zPxNgm7G>O0S$7x9>Fi7MpSG-@?KS&U zvq;25A;ij2%{-mTDx3{` zJuPT2-H?AsqcKBuO29tLbBUQG)<>se-Ku@&wo=KL@MV+hQXy&Qm1JIm+)e6BM(>-{ ze%T=keFlL(?KqEMY@o9B{1 z!2J8#YVc{1WA2deC(4v$$=3SfL!qxPeZ>y&1{D4CPCxSsFr0QN6%O(W;GBk5H~@^n z!auL+MbSZ2pK^|wvGLi3Y_7V$vu%U+sr*m?`anzJ;GI_ev#Eu1aN@6Vyh3s2dyYx% zS9gF({ofD+gTC7mc~8ZDC7hu+NLh{mf@%!CUnO1RbpsAeVTL~A9Y~eaQ-VRRZFK;= zkc2W%nB0cC>*K(ub%EPYX8a8n0DGrCER_9!?Bp=BqqwuO`{sQw#@2=EE*ZIjTBj~( zCARTBc$0YLErbcZe)Q7A+5jVlDHXaV4S(vLG3b`;P(%zlKFfp&J2Yx4bm7(JcCGH-&Wo|ZW5jsP)na~>RI_~0K zkuOAjm2~XCk|ldiA565NTfS-avOc5Z`@tm}?dq~-LA%8@VNTiGVENrbqrXM^Q5;#% z6rP)ALp|Bg$l+pPTsNq*zs?z%V$hc_1;UAl0OKAd<&zr^eOoNav~Iwo?4UfztiY`umeCr47w zVyLHBQxOX@q(fldg5u%a1*n8}R`~x|OoHNLUc$gN3#KRowCgGyb|3}GxMrHTAo>G{ z&4%tD{14P?*@V3>*?7r>U8LbNB2=swA+N?G3di$!{|UT%RJ3G%=jhgVF6A6kYy%}; z|3}~kiC#sVygt8IDaGaIn^zjaOV4@9L#8^NW(E+PHjN}1|Ga|W3)xc4(@;LjeZ03v zAg8>)LdhrRpZ8%0ZuS}fG_*e+dFbj~R$Hc&zvfBGNs;`Z5rnuy&*A5gmm{t206eir z-s-!oCJlj+X0OoZegoha0*W;@v9KXp#A}gZ*+3+$ zQ*|Pbj5gTG5L)c;Y5zc2(MXC&fnqQv_ zHlVrg<8E0qRuQKr>a&Xh7kncBnaiJQKT=W7)LECa>Z|XF$G~dh68RbI-R$c_uh3nu zciA>H2a#C7bvGvHml!OOKfL#uONVt2=Gufp%kyC@9hQ;jE|c- z{w|X|#Ya%f)pW;a-pf{-E4XkAHu<=>TQ{uDAKS*}{~0Lfikn^mEoMO9Z3t}f?t_e%f7b|Z;Z1Uudqk=#g)-OG-opDT+sBM(>RfAX<`UCXRcO8O z;QDOXSr{b^FQ<-&f59c9$xrZt=aypB^(?=#h$^sgj+?+r1kJz0B%XpS%)7&6j&ds0H5_%@zs4SAD6kaOyop#S@LBr= zFx4p#Y_S8iJWF2JiP!0&5Hk6_$o?C^7^eJn0=CWjD}V+HT}V` zv>S*zcK{^8w#R~$Dy9Ca)AZ}g39K3F%|=J8kp=C7xqGWO{>YOcXEu66XLHa6oLnX}6_E9OAeSH-Ef zd)Th4jaHdnLr__jLT}7~3&xCpos?`Kp8qEiTO9H%d)ap+*+#sLdaB@zSDAgISJ z`-%RYQsHvWTMy;?2ztGpMEjA@*Lx*W0D1b?C6I-zafUb=Rqk^iy!^x`km7ji-aA%O}C{j^7XvA+tZML zdr^3gh!%NMn1&r>wAK#skza-t#v$n0iceZ;xYSY&=InCGLI5apP||09~}Xd z3uUWBcbof4bm%tc#dp6f62ECh7qcY*iu!!#fWJU%-DVMCpWA3n4D%p0Q_7DFV+MfF zOu~PVpORphW|vYY$=(>)i-HP!v9LV~#KIkTmk}iD1C+uMfL$bJQ5o*qZET|XhUjh3 z?XumdrApj3XzK>8cw<5=;ljoq@3U1#yOL6@?s$8qgo=BRbG+!K=pj`%t!>uro1rTX z=0#uWaR;V9spO@}rZi@Fy03BQd=gg8WA09s6>E$zS7g)?7oAr16_+kqW4)@3W*T)P zJ+fug$-$IG9-`3l9G^*r)SzTNIHFvG%;=q|E$k@IDm52o;;HP-U=`1D`?2k94WpMm z#LBf>qn92_bGunf77rl7cmN5$(4n=|m=<2#aSL1@D#tHti)cGgM}7I_B?<<@e^9Xo zB^dUhg;^vTMIlpwR%aI4nH@%*@2TiQu^YQ|mv9H_-p2zEwf_yh%Nz~-FhGG ztPwr$%o#PWN1t9+%J@jO6k8`SVnQ3zfElp-2T3p9YiRS7rR%^X7kT!L<~M zsF#UjU)wkkOE#3rq$*)_K0WeDnv|~SLRrw}B>Gg0$=LdS^M-jA4)If^K!bOKX zUH{c^qKD>ff2tJYaj>@x2y-c9mE!HJQs;RdgVJB1Sa|p+o*hs#O`9uP-eRuzb+l%! zrw``h>u`R56XR#>tJJxvp_`A@8Mw-^IlI)mhxW9&3K1)I3Q#c6F`|b*TeYy@%t6&u-UmFnctQo z(uVHrJ*Y7|PuTqK4>_*|Hzt`EM&5ge`mC)G;ik8w-nitXH9B$tpNhO#^PbQ-AE%X$FXih6 zs$|73GYlPZ{@exLzFXu?W)IA_+ec%E*2M10hina0i4qx)W{$+64zp@I4T)nyqd@+) zCB#!%d*;vgU{?M1>z(48L%&9vq}I+$A56w2Jc=@%HB44S#9=0l#^qz(er`o`ThIbL zyX@H`GNKb{K3sax37>WZCi>_TvZ*WO2Zg0Op5&s!yObbNf>4U5@!a1S4F#NroifD* z{~8iG@4tUg>;?)8%>NbwS-f^Ss%X~6hrGS*x$QSbHDLIN8fLg)7%YaVfy=z9I8oHS zF`GmTw{Tcv2^;YW7s)D#jTe0gP;B@1Y$_T&FaW*-1{&IL!MA)_CbUF2cA}?m8_Z-p zX-H%0bO~DqY_WZw4wo6KCTlAL$)^2kUp4X>znhOwn|nL=PYJkt#4OUV^uCE#@As7L zZYTD>t65o_q7$QP%3b$9LWCwOE=O_3^?J{P=MlZ?>D?e{lMMoaMkWS0FrSK7=ox}slNPm*)rwLp z1?z_1)#kmJ>o@3GL`Ifwl3X!4)RC=MEQUNXHO9e9?eMB=D1}r4tx!8V0Q0{vsQzWY z3_H0=igj1cnaIU(HM2Azi#cL*(7Fteur?07a40~}8Wdqay;3F+OnicmsC$`UubCiT zLXnLMDmgqkG_xsbjrVle?q?eiAcooC?KBIm%Wz&v|*-!JYi#lE_gJvGGFG4dz|7bQspHSi`UiiYeBl!s>36E@{5 z+VETf5{$wKMs`&U8C&N2KX8kRb`aclZk%@ZoOUoyJ7dl|qU1=E#_RnboUPaU?{s5i zjvWkDE!_Jk-tnm?cv*iGbG+P0^&pfwX73a$_ZV5ev9@zWZP)ttpoJd% zu8~~L76D|^MYcxhE66{JK;E(5boZ~D%*c=O?{P1lX(osO|10W6*5bDd`h)wr00`9A zA1uM0N@JULgCCDa5YK(q?bKz>aMv~HMu3So#l>)Y23Rm&6wP^u+@8FeG<4lIvYU`LK#?0vL^)aF6#fXKA_MYo5-O4mp?MFN*52B8{W6Pajgma zV$(lQiAd|@g-IrtB6escj zVOu;oN;E>t`C`L^+6H}99?!kY^c*FJW>15<-iGh0I%*k^DZbm3fned0#Hr z%6xw(ttI`8CH&iK1>7=cIE5M(3nYI*ToB74|%N zp42ff_Asu~F7{T5f5($MScFgY970FojBkt5sUns+S@ycyjwT2pl6J`-Faua) zQo%MGJs4v^>_N;f6YSMV5PUfBEe^o7a~pONZ~c3Ezjw0!S69BXY?=tR8IrtWUl0sC zzlPRb$NfGKZ9xX#o6Hccz2Y}e>zlms%Im2UW*`15TFdGw%W5}8W&Bs$Hi&jD0UU$K zd*MNrm)u$R4I`TwmG^GJPW9FhTB`b=we01Jb)`oXlvM>TB`H)f9@#N})yl!VGZs0G zq!qmX)FohQXS=JVYPze%)2`f*iq0E##j`Ph%GE|+t@Si0d3LquVb;V9bp_QVou2AF zezjiJZbXc7>$jjp#j5>pb59r5hINdTtp!3*2pK1~!Dgd^MDal>EJ2jaB$c5+MIcxS zyv&DDWm^3~mMqryIX)k|8Ei-&fpw~TX`#W(${P8nz5W%*? zagKT@mW-uwiH-8LiIXU|%#$c^UF9tO5iT~^eAr;~$(7JrVo%qfR}x}NSS9v;QXB82QakYfEL_-h*8(zndqGu70;l!{2mSBXud^+62L-Juf6*7kNGqmhXv z!f+uYmWlN?yWOJPp-n@l&eODg)6Z#XNRu=u)_WPUS`tyj;M}A)>xgsTPO@6F_(hCe zPH;@RHy~czH(zWpdXm3gHZ5h(eU`AdQK;BW${82Uh6%fXO7X4tyANvd*Ewry_eV#$ zBsx1U&iXy3ri{C4=UiM!8HVQOVdKPan!JJ@^C&~2%%K!G@wBFa7+^WX3V|s2FQhL8 zf04gBt$X`if4%uzY4`YOt)eT4_!TIqSojsbDN?ame}o>L7v;p3`%ra!_Dk-8Zox5F z0!+Jal}5BfRWTIonD2ru!O~{r6)pZ|eNEbZ)}+)gCAXkI2p*R-84j;UVOvJaOu}>8 z-|k&3zz<4{OA!l7{G~(BwnHF%+7g&JyVIkTHPNj!Fy5{7?-pr0*TGVwZ|o(aI$M7= zEuJ+*j3x}gU@s^IR=m9s5yJfdxkDU(3|D=5c>yRk|FCUDZH4dq-=-af! z7Kb)J>d!(fqD=(vbeKMeb(kWL&&X#gq*`Xjjoey@6$qtG1yf{9MNqt!9}_NR`R*&~UTEYg zm)-I^az*tUtPnrDs^4Edx1We=6-^xY@QCnYtU_D|svO)7LN|<8<KvC@J2T+p!AjZ zv_18nv%&PHZ|eXVoLO_*f^7ibNDY4jq!hpB6CQ|PCM;6wQ(MBcvD`-dfdd0!U?Io0D{OV*wG z7e($3*@JfNLG6kX4A{P-Ey;8F^tc$3{$&pjMH$M(MNJL8+?pHrSJ{Pf7mLfcD4XDIN@XV7f~P)-~ow%!3I1$7l=3O^YX%|+X*0151|yv@tcxrkSH4H z@w@;qP!B!+79?}z54+?Iqf#ZOQ}J=C=4%b*Y9(lL(gPLF2T2ZL7m+r^0FXfYVN#(@uc1jxH*aIwF!f zJd!#zlKMqtmUOOJpmbEmsNA>7YSD6FU~v%{`GD&$`F;?Vc|7sF&@o3sZwo_g zJ<>Ku!qm&(7tKGZtL%ec5&z_^QmV-#4PGnYnEzJ_fW-ZK5IcV-9IIV1{_W=w4|}sa5KW@3MA3g)>-BXRxsFd z%FHuIAB?DHk<60kf9wlOd7er}n1d5*-{XUvIvx~@4$FEHi}>VlqAZX#+gH=yO@$~T3#m?y36wir*=Fi zONo9coY7d)Lg0hE7${>zMOf0$S=Hl*w4_U{p2?LIrBTT)v&*e^x1F)k5qpnv%B`x` zg=l0A`F8z07l+>!yV{h@agb-|;?wGT&5@y^ zXP!aYy`HXm+9eO1jZ9)^#$n9~On><<)|BPFzTw;M2I1^Cg6X)WibPR_2sqGp2No%Kg}9foigi3~BkGXrQf&@ka!-pnF8 zX!n6n5N1$A&-@Mi*X%~XP=V2>h2Ic{;5h**aE{d96Xp|N2-q+&n!tt5QvDZApKUqj zU7viM*P?wq68Y-`THe;6(@zPUEPv5oAqy-wWG4I+c%|iqn z?R*ROu{qK)n9SaY%VukNhxopUJb$&aMVXErJ^J8r0V^7dAPETKfWNFGVHuD}EpjX^ z$6_(75?7ef8VF60my(^)^a{C9T_(|bPbBMKu`Uk<&OiV-gA=4PP;5Y))N5Yu(4Bbp zb1p9O4jX{@6fb^?(!Ux7;ny_#9lm+#2Bo;2V9)zisZ(=5<-a4&Eeqy`zK-93$UOV0 z&3~KKjaV3XASDYAC13q56p4mY-R^v%fH=FG4@=PG%ZK?TX}Yi`jpA_^F|4^NN+aU* z=x@)Kh(Gjih}0Zz#*rfpf~R9AT_~+-YLTHmY&Gd-F9k9BT7HByBBMMIo~)=e&;SaB zv+NO3YtO1(P}Q<^y)s8*4V<)Y|M1WBeYY=sI@!Cpw8I&f=&0KwT*^Nw3=F9-08zS? z8W6$_Z;ypWguM>)P}zP}(yB>jE1(ky&Q8mqLnbX{YfM;?2Nx7nYDSTL6aug|*m9u2 zTUd~Ra&%KTkZFj3@=(pBc>?!0P&ZVQq}th3d3I+kVjy{r1mW(VAX@jTkt8m%qh0%L z(DAq7$I@&HnDN}MfT>rgR;KSTv^o-jd4kjck{(#d)d)nwGUlPL6cRG?-Ib=GJr0xB zgrc6Kx^M)H+K#pJ7W~o?6>%lWbb3u8>oo|7|e9w_^-VD+`x?!Lz8K`@-g{qf@Q*Fl)sQa$Y=Y6`B zl|gboemRX}zSZULYdlB@BE13`X8Ybp{nVF#dz zIsxK%G~OOmu;sMlxoyC0G{htR?)4~f}eaYKE4@h4Kl;VAYy(29m_&)r` zGdA$w^~ooD^*I*xswC4|mi6mQC?^AWrDXq$L^h{7wNIJ2*Ji0!5|(Z@-?5QDFQ-L? zfRTUKlgEX^_!YixOK-JyZ|G%>(Qn1;?}iC&S^FyQ?<^l@Q!CWhX+ zOMCA~x9bzjp}3@CtO9Ws#$~x=#a^y5(}K~otvhY$Z(|bE&8;$%kkymxHA^~SuM^J2 zr7BXtijRwdUtT()Ak1&UyY51;2NR18=|F;!p@l^7C%-MbB?ph3#0qGG_gTJL!3+k3QD_8@t_PeDb)e;*{zG}a%x z`FrST264wdRhQptX316WmGxRID)#VOETU(Pd%Dxm;KfC+I|N7n#DIwlF)n&p#6>qg z`K{7mv6y$(By5)#L7`kmF%}Ql1n=q?D33Z(DRrHk_AD&oqRtYI=3alXUwmx^o<*rt zl*_D(3*CcNan5_60ZINM?iZO!ed^pt40#?7o>qF6j@e^?BCKRcKm%dUKuwGfpFVyG zOF%)8^hiAYl6KNJ|LsAe{%}zK&RD>%5PiV)9`Ys4`Z?v1nWy|^ipjiT=NrLHrGUhL zSy=yy3jdG<=gt-W2U|Rh^e6)`ElV1VfpnH;4tgIUU895vFK3mP`7PU{8cQJ`|#LKd;%Lelc_$f;r$TBKdi*W7W*zQth-pItKj z;+yYCT$cCy{h;6?Rt?YFhq;1Ce%!kwhVv}0im|vm+5V|}f_dbZ(B*fb8=N_JjK%LA zC`gcybUJQG`RiZr>0S2hY)Dx}GyG^ke}W|s{rMY{g%+rrPfx+PjbgXpo%DAht~bqtuR}xmd zekzs)mkwjq$;tRna$Imt@}7}tp3-NoY(lS4R;DV?`^oz3%z*O#K-x6#ZeX+Q6BMt| zVYL0oK8jR*8^e9vJIba^W=rHLJ9?(hUEtry!T0Ja1`NC$W%a)^>}Ff4z#O=MIry-+ zwS}TT&jj$+6AT!Wi0_Z@a9R&kLnz^RAU6cdUW~vHQ0%C>80xq~zP!W@g5mw=@jhO* zzBcUV&7A@gW599M{4FbOvP3~RP)XMqVa8Jg&w(#Cd;%6gMJ%G=!+JrF<1xx|tqlEL$G0Y$NFs!PR5Z z@L;GmTy`EMEhO-j%0g(olCSqUf5htzJ%2CeIE`^x`n-@(aoJ<{+i@E9a`by?@rvy9 zOmX#PQgL5@PI`q%PNpf^6nPzBd-ZvJiWgs)=@H$3nXe}jC(J|eh&oalDXoRyxC6m^ z1S~rcMmz#HH1TQge{rL;wS9k6Rw-rw5oiOM_T~%1c;f+&Mj$Po7wJ+&(p|MtBZHSX zrNM_@hr$?|!(jp1@klAR-WLuRHNeDF15C_J=AUFD#ej)fY!HGs(;ZCN&)|j~%*=lptNI z${@+D$3lOYugibkQDeParf+;r^K1f}7Y@Mf7*p;?elL3i^yP}CfKJXOfa4Wf#0rS3 zSzcXKPbI4~c%)7DeAf#z%lluxcu$P`9Leuhqmkcv?l?=VeG>IO zLN%h^6Oy6Kc$)DzGOO+Jhk`-okI7k*kq$>*ZP(6EOPmpLG>EJEgiTf)NX7(Hml!jq z3FP}?E1k}f%2DY1;Y9whtCw!(hb*!+Qp^SNS1+gFl2kbQ?pD5*^NIy|-NI7L5Smv^ zr(8Vi<@W!oA!_I42v;xS*sbr6?7Lgu_i?ov>5u2n$edUOmqgx`#!dM?RnDHMxbwt{ z#gz5ksmo`3w+yVb0ZKV5SGmLq+Pk8RBopk#Of{NnaDQb-_-h%xo50U1b4M2uI%GToDKL`t{h+lG6P``(Q=B4X~_ zZK{~_r(N_diq;yIcUFH{Qm8X_acy61+wU0A@0P3|B0t0sI;#(+(PL>I`l;&Kd4?gJ z?%ayfW7vN>5Pz{UA7CNc1{ms0Z6c55_cM4z=O3)6Du3k4?f6c(tXgIq(k70twxvVhr=dI zp|O695J*!Y3deT!HZ~KuQAZA8wwM?IZ;>F5QxmxFGaK4J0FmGO_&Ya%B{RbP@-L^n zZy4(SLF%8~S*a#wg6v8WINyx)gqKB(6Gn{41-*HP7BT@ZRv1Y~mU)yAlDtv8sl z@cD1xH)Lg_htb|$3S>eCBhT?ZSuj1Wf47g?sV~>=G%}8bZ(WRrDKQ@uIRDgw;qDYI zT9^kX!`)^ov@l0~6V%QhfnjG}g^ne&`dcu$mfZojv1qGCI|Y6d!Thw!^{*=5CD5C0 zDm1I!k~zYGv`QYExLtaBH?D#P11Vpvi(PT7(z!C)jlQsjEsDZ^|G!XR`>SG& zGZE0z{ZUR5w;2-$JPtbnWRE>L#+jX)pNVsrB;}gLdWjiiF4ioF_GWMSyli8YZr-JS z*;cksd_CZdws2!wy4jQd<#&1)#;aG=%F;#^K_@-EZJ#LA--a|{InebqVI981_;!@P9`xL=j$q@=o~`0(QN!bw&$<3{j2dlwgQC)x)w(lK zr}@=6O-c>&y*C4*_t4lNK2Q1KZM7m$JX;)K%N^EIh&f7?HUy1_E{2wEZSRsVo_mKV_ z=!$q4R8BED(tmE~RB4c#5s!Bb&lFpl`n?`%y`*=bj2@l>Q&9nv<39yBr$?XZV98VSv3rC+2@Nb3123wb zrCG;?8A+Sqa+y-cpr>PByu7ht=ug&IpLf`Pty%IwqhS~VRzwt75iDU#BtBQ?vEmE6 z@rs*<4dM&K0yehnuhk*SInY;<3EY0nhG#%bY6JGmPcnwzLZRQ6K>rOFq;O^P+w+3Z z5TW0$pY4AtU5e`~q`qXNey7r8ino6s4ts|K$x$T}3)pi|%25m{Zx(Mo=Mb;e016K0 zF6c2Y4&*#vu_i!?vCBvjY1=zT@TY-kr*)D3seX@*9T@1_>^?5R!~OB3NtwB;>}>2J zGNo}dsmD#5QG1Y#^t@oi4sI>%Vf+d2S^*THTV+1fyWGLOC(g z0u|P_r&A0?CF~&_XM2Kip|{TOE;lXC+?CJyX&CmX%uDu@FiD9`ofkU!XMcgj@b4~0fBNTGVId>*KY{s67s~~yw1}q!@?i08BL&Yu z*Xrks2_IyP%Hj<%s$tPMb@T0F(iqZk1Ctz?uu0A`!KDy+?S9KGVN1GeAPGI0w&boH zp-y@yZOM}KZ7fa^4QIujGU+aRC9k{UgA3bliFCH9&ZXraw8-bW?2Kb^20NgUPaDeR zz7~6mt>b1*5Y+ptJ3?BX3Nt7&ILb<~SGbws^*%VqLnH5^LPlofC1fT~A|EQGz7{1Z zD)A2C=w)%|Ryn<@mr~Kx#nJY^d;FxAs7F#I;b%6OQ8tw!Eilc#)~T;2RtU70c*8m5 zJ|?}pW+l{)6+JHLy!LdINgZx9VogYg@V&ZCpe;%2IxORy`kJ5lfkF*vK}14@VCI9f zu%moY>g10rH*fCQfw>UK8;8SQFhV>;K&B6H+@si~Vf1DI2MIVo|EI-;sy}3MNwEeT zn%dBj?F)7>=F|U1MOkhVeTA3TX+l?Q72>bXco>W3N{Qn^a-bo)jj|iWIMwy_9KENL z#NDS|aB=j-NQ8M$WJEZKptaORDAx6lVR=xE?f^^Au{cH_hdLP+o z1%WXxDj98)-a`V?MzXJm!EddH4eQ_SCQT@)ukOF?EC7N5 zP#V20mGU=q7r?=%f16&*q<(fPLjH%}!l`aYAtB(6{Af6TUcvpC7x1d*uM4u1q+;N_ z`u85Y27 zwgIp=;LKI3LVghh0IjcZ1nh$s%fy$0#IVJsqyGlIr=260c(8UrfWNz4FfV)>`sY5m z;Y@}Qxf*B~ECCY!l8 zlo4RYTzT66npf};z`E7a@UF`130pM1e%D>QY?*79)u-v!UuVhA(i!eZM9)ag=-o=j zd#u9~sM&y`Fvu$rz0D;N-P;A?+|#A1GJi(hE!5#048}`0lwqv1ARX(PVm)Ql!t`2# zLI6s#15mP%=7ZTc)I!BKE^!CSw!%OdFp#zL3wnGm0dM(r3lU5QXJMMdM$DrVFBHsc zcv~Q=0rzEU9s|k_A#(JF7zEE9z>kGy|0%QnuAoDjRH;BGBbVpxpad(oraufA-72vj zkV#6#8b4=b{p7d{Ah}sdKA8ZTZ0JcV<$?^Im3UCf|14@m zbv#UI;4Z&PuSysq=K8deFjd?;SuE3Rdf#6eRMif!Fyrli;vznxz(Y|XlVgAo7uam8 z+$PGh|EH78Z<@;n=)rSRE8uDS8;Zpad=TGg`#2kqk5<+&G(Zw8bEa*T2*?y2ojt4bavdDuAHi|Hlp%bXk zLNBe5rW#p8`5F$(1LQ6sTa)}v{|`EPg)9aj33MWO2=|nPOu*Wnc-9G}r~~%LppAUT zSM^y7sI;tmKB(b-Ir{eKTa26Z`Wsv5mfL}_b1=LgR$8TJ3vH?0k3ZWTms{6IE4cFAWIDns z4A+t15Nf!sKW!|n3!X=tU&Z_i_jAh}wm|^v|6(21NMr5!CVm~WVtuXopkrNi(ci!i zw)y0!7NxumySnV`xE(ZX(yJw_2CAECr0ND#9jY6h2c}1=y+P?okI0zBU<(kk=bzbr z0z1r-;h}U*QXp)P7_qLxcIx|rF#QK!))Bai=cMK*ExIIhZ^JH0OJ1+rCL~seE;hdAmMJk(aNq~*9 zIN2R#DUy02l6o$ZdM1*3Dw6s~WCK#CCP;2jt>>xX!yTCJFD{V_XbVIKL;pvpMkG0o zYQtc>?8DkdCuCJr$G09GhH}yfm>SzG6IJKX;|)ppW#dl4Jl!X;4}W?wQM`%ge#A8K zg$8~Ec8|eHmcS!hc3Cb+)O+ah3>0GY6!}25LwmbhiCi;4t%Yo$MypsO91BaJ0DfPq z`{VM*kG_tu?vM1`{aY>3p;UM&)Oa77g|vRr28S|NVdC}Nqe#D+S+s}?RgadSxT@SY zI9VFEm>--pFz|$o`V!oXmAGklGk*7*v-HQkIu@oB88h{;wT(5utiz*E-G@hq4ue}g zpNcyk@Q~=}h)8!?pJ{4Z*J*0plI=X8{uPEkN3kOx3MfbW@6 z;qqvZC=5Ue1O-OAwhUx-2Mx&b6qqLl5}@wrZ161}<_UsKum%!5kbI4@-)hW+-<`OC z-N@1eOpeb!behulZmH|KO5+c4A|X?%nwo^Q?{9`ET4y2N4W+#$&AB@Qf0M$w@{(-@rS&Mq`g zqSv~yC0&;5cV?0j?9Ql5DS}m?Ch7H~o!-w#hUx!}@!*Xq>$eNV5BFYd_F~9(k&MP>K>{-3L&$fK!q}Ho4*#0RuZY@Jk7h z@v%Ycc%xuJKa?6iG#DSI`edZh^GmoSt=${3;FW01zn!o6Zuv;Ru()R@Rzku*U~^5= z59*!4K+|(#NH6x@zEQKS~}H z!-+OtG8`Dgd276+FBP38${%(yHqNqm0P0A*(Gq;E!rMp=*Y-2J?)yA;k!jAF4tzWg z;?8rkoQLXolz{zX-0Y})F-%2*$!LfVz-;9NZcN4r{~{2EEX4i4;@B2C^MoWLT%HH4 zlP-qh1W-$GVVY>dN6rIqwZAZ4z@Bau%-4GcAgwX7Hyl`f>&%8KK%)=l1C+<=Q=LJ1 z%ovo%cqphS`FTKjjMDm}eC=@hn`%(XlDg$%d@(=1xX=gQw9)s}dtQw%zGg+E6x4JL zUqYV#z@?P8nR|VU#F&gFILiEilP2~D&~K8)c}RR=C1_Xt8KI7S#d~ zKG!A8ZCA#mS@V4zD}|skSvCV`PVN^^o83+X8emBh;rn@(sM+hKQ~|_?JVAUB!wg6o z23OP-v92}0XAj(o%!?CrACFrr@EG*(*9gW^#A2A@?4Z9Igl&dheL)R4{@JMVOW#gc z`Dp-a*RwgBq-)v8EAOLTvxh^o?@dqf$k|*CR;tgO#)K`3=)~ z{1F&ncO55m9{=*}%y<&sdFY7O^=;~l>X3nEq5*2CYOALgw$Caf(UYneQZdP>^tQHOr%YFT()OE}x z+5IsG9CJ%wvz9V_9-VreRCMo_?kINcia+FaiJ*SQ8|kvuAok2>M9@-GLFKV@ zr${p#Cfz%cW$P?Kx_*$lvbYfaqXg`7$sbQXzh?XOc{a+&MUlZLX$|pa<}yr5`IiZh zoU|100L`o-^F&WE<3u(IeELfStN{T;i>^d}C0Kb@ZO{KLLm>D$mP53xn>EfIst7nq za_e)tLc<;9ai1_hN`(F9yZ`F?qnPb!B%P6z->lFN>8z{|&|> zv32===Am^Ti%laxA>G!oU;Cf6R|qWB(|#4QyS`~9iBrpwR4Lwx9rifeE)##=I-&71 zgl95KlJ)guam4DeEpwyanZUFp)$2)*wR$|os0ITvO1F!p;Mg4FuY2cP;~p1omTni> zsg5s@vCw3&<}CGjCE!43YtM#hvxWbxIC8&qWBpuKD6Kc}WBbB3JxwgYB`M^ac~fAm z!H+Kt8r~aBbQ<1EJ}rBcHglA=Ny8cs(4pJqQUZan9LZqV4nXhNfl^Yyb=V32!V+x9 z|372VVlMw)XyIKAwnskz!XRBhi0{Tick9H!K6kn<6C{M`mUmyk1{l2@c*HRq6QYBf zLV_AbQtwq`q5Bozvv}Oy9v!3{9u%tBE*0JRLLD8gm6Uk5i!&zBn?nNo1SZ`Mf@jnt zG#}#KEWx-y?;t-K)WNU&Pk?C)2Jh3cF`5|}bAJ=~95J}vtLZqFw&f%)iGOT;K1W|! zvmSckB}&>q!uL4a%HAToD2?DCGTM{$)A_E{x^#0s+QJt2jT^k#X@=ST8BxH>^-!#t z1>GhG@engc;XonkGQ4ZLNNTGwq9LaR>`-nPY)4(LhB)N+0hxL7ZTzY2M=UAfFnzE_+2e1qiFz+Pov@HPc&>B>RXxt^muFdM`$&2BWNh(M zLB^3Rvg_e2ohPemk;xg`OE#vkmb#b<(&f&Ldv%i7buMGM<#woz;P(_MO`fx^mMu%` z4{*9!D(&iO4}?|K_hL8g1qI9mRU<_w69WP_?MhD32EY44!kv8w6l?l}V9peX)-rU2 zqyv!eJ0Jfh(IDeyaQT=n!G0D1swuH|F=3c8Waz zNL8hitvg6aWJF%~v5c+4N3W$orF#9*v`DDFyu)spC!wjXBAF@qxuB6<@mc9>nLu4q zK;g!I?3aJo4v3F>s_&6}uJ*?JbGmetuS}}eg`NFOZ7we;{Pec$F%8sl+s~XYe=HGy!ieVD9yr+V%qBTB@l|cUgqw6ieqKewKQR$R!q#0mnX`~y5?vQ3EK}wMB zZWx9Rr9%Z7Q4vYWL69z$4k_u*vr)hI|IUBjb6w2LT6@i&i@o;RYd!ba=+!K^{G2C~s6Yu+7L=3kR%S`J-7>4v+TQ&em@AOU& z0LQA_zbKqPQW+E3c1HiSP){EITR{T}Lx3#NuA4_96pTi9O1u9@jf8PhjoMbQ3y_7K zUgKAAjhV);SBjaoj3ci_tzR-_G?K*YYBlqZlPbru9lT_VE*{e~*5xJQ62q{a=+t~} z5uGagdXG46LQ(cL8>+1m?2diIuiv~zt!J+bjFYmTRd{`oDZB01b11)Ey>(6Wsyuv} zYf`c+L|FDU{;}-qZ|Jr_vi~a}+5Z&)JAh<7qR*bcth5!jM;Ne)fXz%~U9$^%dVoc< zs)aA%-G~PCF$a^U$S`O zc(YE1r*u7PW>nxG{ls91_A)&VQ|Qst+0t|o$q40u&`uG_>i0Q#;R%IJe2Delg5A3A zQ^gvw;8dqovU<(MPs(E&G9~Y+285K>a&d;P@G47*=SeP512p)E9Sc#khL)iK`P$M!jx zW*Jvo9=4{bq}34J-6t!zBqb{+RM5>MNeB=V$`M-K)E!^>B5in_G48pXQ`XSwg$El2 zd&djO2$fe0Xr7mfJ8y0-OE~p6^LiYA5Nl0mqrb1z6$!;bMTR)5AgsQpbMG^nE_sgb z@uaxlCC%T#z<>JC04k376Uy%HdG+*vuNdxN1;h_QV2HBcXCIvJ_7GLd14QRytyq63 zDIhF_%2EOk0Z|I)R`RwCfwT$$Jbo`j#?Ajo2>(J_F2O{)%1dlNhscRX#Bv;Fb!)(L zIkNLOw5?7R{kNt`S6RY2hD?C+T0kDhklCr?`4`~eSU86a&4rg^Z0k?)t^KU-XH(Z% z-G`IcSxTBiSxVHlUzg6eLcW#&YMDTGy|Y%u=cn3JU$-#&TusRs-`Vd+c+3~x^Or`Q zC_f@z?*&flPUO-q=45zG!Dq5Ni>ML|*X6-TdNO$e{GK3f+7gGtM$ZpNU)c>C6bPZ2 zILCD`O^VRCHTv6dsJo#&;xT^u1N?hH7DFq*L8;uC`|gCnCh=OX_>06yRASgE6%f`< zSkTeVKq5OXLPRlfu4|L#nmDL-{DdbZn7zK#OCT|ZI|Kvi#ROZGXZ>2QN=K&(Z0#US zb*YovJgcdYj0c1j28sgUfe6M!4&%wpc%s7NDqZ^%H{VBDP=+^+dyQo#4z;>e5=bx4 zPfZ<+I&kW{`58g|Z+K;OsZm$@;#3m$i_H$Cc|Kb94sJk*wR~6+D`fcCnSQx`k7KP`wLKOaK%#G zVh&q>HV_=TxF}qS5!d>3o9QCu6O&m6cFELcQg~&pw0MfmMRR?uGdW>SYv8uc#ZpMl zWNv#y7J7v+m$tHs_sk1~fQ>2A1*oZ={&HJpcO%rEmi0%kG9o=ZBj!|J`!0C)|JD?S zVfFlPA&cg25mIx)4)WXuF*BTqXMjW6W5+2T=Npj6*Mak+!YYr+HF5#{8PU(kMP8*2 zbK1qI2!+$>g!q8dX)VknZ%Q$@XWQaCbN$Z>)>GWp6PNE~bSh6~DX!^;euXuBLHk~a zGCWSRgCbNo(;Bb)?!81|o-NHl0zC(pK$>RQ_O1mb+RVdVdY>*%_ZF##*YB+q2914M zPgrIM$YGZ!t#u%Ak_8tT*lcUU3Q?Dh5ztfdok{jSy04vlU!x^Y*HP%1EhaJ_2a~G4MV@`ta*;RPVJ;wF z?Om+UvpW6^2e!f0-{inPKF3A=9QvsXQ38CXr*Qv`fF|v#Q(d97Pisv2yQTL8j-?Ye z*rT7EtYpX^Z_~-@r*5GOx#PY(@0pHVGxpt9EB2D>)!|*IdgA;jrqZa@&QD*QGE7VQ zeE&EEJD3!^@IeT(H*P4c>=7b_2;lf|-;?nJ^c6oqUq$LZuxFfY+@PhC4Orns+i-q- zas}94v-HS$2qi%6k|5_|2mq4C$T0JmGGh)9cqGLa!8fOvXwV38db zyyJcElsw)58m6L&P%EAYXkf@4;-n!ccI*jMsSMHfRyO!U@BkdoZ6FDtGep2B#U&z$ zQ3goOPz$P_WK$sXwZxAub-roQonFT7R}!qESd|O=@)RVL_PDNDG2Pz`(=J? zw_Lf=BkF>9kn_sF{U=@z{WD?0oL8;9IhQkZ9p*gu)}EO18$Tdi>u1j4Dtkfr3}X!Q z*{3{_%@C%SJDw;$bp%q=*)^8q6S*&Iv(S8mxqMxosCgLc4<>!~%JlSIuA|>G)Fn!s>K8V7AF|Do}l>cB<}@{x{{Mo!^9F`xfPtRC5gmUUN~y z&f@XsVwJ$oi<6EmGYg7)M)oMyhKZQgQ&R0A&a-H*n~D!nUO#FM4*pJicTQFND^8r| z5dRiROCPqqn({DX0CbN1NBqpZZ3d;b7u_RxzCU~m%AD_?Xo|cjEZU9r$EhMh>hT{|wc~jC&Jjk1cc=Y7mz;Q);Q^dw!E^`5 z%w^Jnjs@b)fvNtw@J>x^dlw(}=5vN**K>cFvd7;H#ChB)4O{v2?FAL?;>(Y9|Gq2! zi7?kYwUW=|OaAh-+U8fCS%pryS%u`)dNl$IScXnb&NF>dGp+6l*Q0i;A74>%4Nh9| zU>o&gn)pB8s6WW;w_D}w`H_&h)@m?;@!G(my{mF`p?AXj5M2FUAkhh4^WmP;%o|E=qDcpGKkl@gU@ zs6Xd$#(#?g@{TR;)F0?To-9R=B6GF79pu%7#w|$xj~(znkz&R2zkHpJZbm!%T??b? zFVRU8GNkG9Xdzsp?sHe)IXPc$x**H5hJ&O6tNo>vHHVe*t!9d%)NYM2cXdNr0%@aE z-U*-Ur+y-P`+-vQFJ6Dz?9`u>q@QmnntOOPNw3{ox4rNE+W)29AR3(uy-M$oi@fZT zWA6o|K-&+}x%8&BViV~w+w&Z>gsDy6=7RvrmS>swJAY+9WPI^BKz8!E%e`|Klr(aN zqkD*NWxr|wXf@a$W5a{P;ZozQ(__QH{na#PHCg|J-hBN91$U@Nrs(}pwpo=iok;8j zOxUbr{2df~j>Z9-zemIRLj_ja^2~!EVfL&y2ENzfLjAtDuBP= zw0{zn0q^0dW!(&ky3c=Bi82Ci0J@q4JauJDYl_+CljHA<H?t?uMF4MH&;uZI!w4cv7U5)9q5p$nKRLxcXSnF>O%74&z2S47<3kc_{~qG z77TgArrc`-{aWmUE&V3gFPoa?%}$2{wwhv%$jO~rp0-e$`LGQG&+Z*zwEZN{*_!7j z^khy_b#z%{_v@zI)RBgb@@k5# z9pS&g)kRn_K`zK~^|SNP>ny42PHCJC8d4|oVXJ!SoY^}d-Z$BNhP-dg`}I~wTL#L7 zlbgiovmXtW@phMn=^Stj3n5FjOpi8;5bHh`_PX9G6c|TrW0hJDj~Xof7B#gWl8vk2 zw|uCi3b1hZqS{>g308%l;gEnr=%@6ruKe6v&f>McQ}S5MClZsjkjm8)DC)>QB0j2| zO~+2iQq;j$L0769$hTFs+8JBuFE>QeNqf^zklZ`BjOFjfWR5 z90~-ggsSkHvZ)%@l(`~}Fzu@a)-4@$z=oJSj>9RjDr?H2!-f%$*QYwHoF26(ltrD^uUkYTMA>Zt*A-pJcUnd8gOO(3s;I632uvsb% zH90m_5iBk}ao1oL!UW)2D}5mp!{C|3WR95?2GHkES+Lh=Es15aSqCAqX0;7M+}a6Q z6r4Q5QU+!;6`-kM*sBHuXXh7{An+g+&*B>GD2ZDu6VGA_?da%3sq%6Lp#~ww_*IQf zf@|W;VH{nYLZZJP_`h}vR9JuQ_xTHX(I@^~N$Y!m;Qh@m?GSrK@}|+JIF&Y8N|c}B z7ny%r9QuJ+sswMxBd5{US*%&AZ=TBnDX)SA7xD#f^5fhI0xn|-0;b%#9~}%*d=;fm zLs}0>_leFY`7b{0$E$j>5Y{ZKMikL3i#$LDeGbiltztmr$whs~-=5K`ew6)=@P2jM z(ME8d&2sx_#VV!qlB(b~e;6FsXR=D<<6pqPRMIF0Y;7|5K+GQ^VyA@Mf&W~``I;7Q zFipNcU}RgMl-R@VsHWz);qCA`9hnCv*Nkb+mCGo4g87v1;Ub0o7kw_$9a4?6$M^fL zpX*QSKs0nLa7py}O>t9p=RMo9cC5kCQPD!5*jLWN={~8sg5&kXR zXlJOd?X;nm)t`H$#iJRcm4Cxo`Hi(w3rL5f%ncojWzfN#es=WSe%vt|u1iSxS$$}I zCTULUrt^0f{Z26GO$p)Xrf|5?Lu_aA*>od5Y9YTq-v&#k;4im1B%fQCLWyjwj%6$l zWh_r+=JsTII(~FOeoiOOWVNfmYymU!7N4%YC#GbueMERo`fR^r`I^0_!s37@K5JP0 zI|zsL8S-P91&rVM)b%!UI56c_x2N^jIm53@2Ff#rK?ur{8}h9^Ge)1K)ve_*}#_~8KS!$ELfaWK5M1S%@2M{@7GlSW2;zwg@+2`%Lh z?2`Pc6vQv}5lB^t2(=oLjRmY}&jfva@cXTC?I$9qk%2rsIpmi|AlmAe(2w-`u+{JD z(P{PlEada1&zOdp&9EWQnK)*mUqUG(Mhz(Bdul!{&m@pWQGOj4lS?Kg>%!@o4Sc+e zF07@qjNaP7LQ%2AbBVEVf+)yXz(OM`p1o&ZN&Es2ffuY;oFau zz%ycKAoWZ*x1k=44xP4zL%_(tU@`DqNzSl=1J3H7=$n#Jzmi<@ z@X?)q%8wS_ei=QQ)>JoI>P4RdnDCAnvk*kXcMbSw>b5hE1-c3m> z+T4k2Av?zYmFO~Fsx0+nymO)|mT#h}mn}YEU~>oiMqz%R-Jg2j&puySlIXLEonzMy z=zf6t+t+fb3`auio?6@3*9K~0 zZ#N~r=d@!@#dV}oestbjR$)5ZU%rnQ;z6f^A^WxwKP-p|U3Lc>B~3yFOLouSt)g8A zKVsn!$%q~B*qsRd<_S?5E4J5}6)1ucYw)ll!DVWIqf`k^1`yTGI^r-rsf5nF7&Q>+ z2l`2NrAe3)m4|t*655dln{|~#D#vhWf&^IYGO^@php^;yCN#1WlwCKkzogH^hqT8vnq`wMi6*6L z5gR<=p?F`#^@~Ro+yVRmh0T0CnQFl`2ITRZs*|Mgd6G?hZ2sG*w&&c`+5GGx1J|f= zEd0FTT2$F*=5gwbDf|zzJ!mq{0<`T&e2<0LJbi(}=@C$HcQ3Uic~IyXe;7!U-M*fr zZtdLz3K{)T)fh!fmmw<~^)TM$ql?B;rBt$-`A=HvjP_qY@&)#0ppvLkZ$#-)+=c0N zNm5P3B#BeS{EC)|MZ3gjpdV(yip9YS8c`gO6IZlyG2zclL?FA&UOKmd3{Va8&kCp= z5uDpp4`KXTAJM4k%-KN&j4Dh|{SaNC!=iu=1qTliKPy4gG_JQQT z?VmZ|+oI91>k6H`eo>{Knul>Tk=Wfdk$b?a>aLQemn=6RhrguGgc;tBW=DiA$&VS{ zhyJfXhl8X-zp%q!YAxzv+}U-;UkV2b{J3^RRDoic9gEblVxR}O=O)_AM88gi2*T6Q zmx(BkL^uf+mlT*@+~3Edvc5vWJb_#-mJo%Wd1)^bPK$gwLt|!PSt2|OlDG*QVp}F$ zcW&9o@_V%(3p?vve4)|BFefpzAN#l`N#cArE8PBlhOlmmzvk{nfBJ>g1T1aLwP~rl- zLOvgO*36ew{>@3-z=i&23{)WQCM@%%-v8gmWcBezMUKhFD89)?#k)!r{E4|O!4gS! zbR)V>o+r*dk!6y0w;oXYb&`76Wrk-w7h5ymQs6s^Y(OlSHBQs}s+hEgeNRulhh3Uv ziIk6fxBRRN{6kpUQ-q?6Qv3dXyvm24>WYI;f9W18W`{vno7+ zvJ4!|`DHB{N7WqF#R)&pf^Tz!H5ZIorTh=ExLI#KCeFUTm8?Ef|JY-dNB`uiyvItX zWyqw+DpMqkL^|z5@VdP z72vLZ5wQE(I*3Ia2yBb3Jl=p_{@l3$UO6xKtLh2&ummXa6?_};Z#>t=1LeajS=Ial zD61t=y{zUWYMB2wK+r-04MBok*SWjMm(L7@mq-2p2Mpl0y#&wjqg+EYBi0z=l6@wq zYVy80CBa&Z;4ljV$jMg?H3vmS%4+5dOZlTck>paYl3mic)?(Qr=HF!L*`vOwdw6mZ z-Rps<^Q&=^)ckYoo+c3T*!WHcBLX(^j7YWaE}Md6MuKH2{4Sg3*l@8c>**8^%V!$$ zXYU6))?*)Bc{DPUWXr)K8E}6Pg-m+vy?n|y7izs@FRQ|89$n2O- z6F1yBhL-T{qo00Z6HEl1l%7;>$PPOMZx#^kI%h3g7F<>-fyZw*$~PJQGI5JZIgHng z#QPzc9A(RgkK`}&QJX-mUNR^T(tYDUDw>S)fwTS8r$KWtm#<;Z31@`E9NS0ly#bfq zXQbT|#(U#yrR|<$`ku=_44G)Z{n;r<>~Py~}q1S`${@D1>Rm&VgrqDxp(_u-S3ByW8==n&DfZ zilHEVi#}|B9YAqfYHXUjaOmifmYRd=F7t%u={qI3%~&v~9|F(hC^v{lkd*WNp18lm zz{}kK3RQQh6w}id&X#Fn`ZMGU7duB!4lVG<}syF(}*gtu%wf;5iqYVODXkF z%&C?sem!yUIRXUyydR2aebMYj7M{xFv?C+esM&pc!DYn{ILw5iWFNeQ4)y3GoLL|u zSP)rNRT&TSqovU$G57=+q;~L?;Vv~z62`!2qHOS9Y~T`w{4^czxrHrl>5tL&s@4iNHz@k!u7I8G|4l%#>=X1Rqzu z)6uQmC@%TNzuAcCW3E(~@QB>U;l7Xg7ENo%?QL4rySGPyxMGcL*T6i7>v9!NB zdi<+AafP(`IES}4lN#Uk_{VwDTNlJqii&%9vhY@V((Cf@_9oiFg7V zWurodyX51KY;(Gjzp~UK`offMzMT{j+?rB|pZyM3`tS`WG0O4i#ji24s)SK8xNBYn zOjBP6;fxCr(Ue10fE78lpI+MvS>gA{Cid}xwz6Tz>R?ax_;Dv(t{i_h5mGm>oZ)iG zed*Wr4jiU}@Gg9JHe%#wr^Weq^@+tGxkM*54pU0_YdlCiD4nMJi}l*4X$=z+gYxVs z;YoauOAO3{B)vJ2B3hc^GnE-hgHucy9z4GLP1Jb!N3Q4ko2gH{DOfFN{Q(>+ zr?nRNK-DFLFkX0xPtWPorAr9;XkeSkgDv{0=g)VO(`Ey09=ekKc6v^D&Hp(TNRDE^ zeti)FWY1#JS+!OnrJ$hPp9-}wq}1UPD{k_PK$9Auw}%TEZR`Fa^kg!hH2K`5d>$99 zcP3`#u3s)bkKQQF79Kf`f677{?1apBZ>!37H9Wy@J!V6J*mxar@~v(E zBgxBP>wCW^x7^oI8>|K*MQXV5F_6qSAaxWtH---4r6w}sgX-$bYrX%zyb*4E{qcNT z!@qKVf%ra4D%_O>riXf7#wOQM*M*6CP+UU|OH@(Ym&~zA&ht$0*UMm>x9WK-uGs2i zqk;!pb%$iNfk6={cgKzG1Z}8w?AsJN@LgV0Lx4L(i%(Py+qGn#-}B0Zv}OizokN`6 zkj9YApkE0=R`@HISxocazVitIhFigt(e^ zoaPoq@Bw?5oP}@|q;;va19K2-ALVR%&_jCU>9lNXCFViPo5~fu{4JLG7d-C;deK&A zEHzq&j^P2?>)>PQhO+TMC3n{25>Pc|XL`U0S2d*qEMR1U|F~(S3(q*y&v)*?iaqX> z?ogw-?v4Kjftk?ILC{hqlyA0})vujB1o8!gRSEK(t4ehhei=~Yr90uRwF_8v#qcf; zV75h9L%P|OL-|69s|&p5ellY`U^;3RM^VVwS8!8u=zW<0zYiow1Z*Up!& z)}%SipuUqgqZ=hQLKcwcO>mO2%slkL8%_}-CkjK&+k!`+#2NTF)nHV$dL-m+ruB>h zXu84#=L3l=$ix`uIcvwugq&$|Kww))s*N+4615`-o9e zvF~HEp#Z5HI)z(Wtw(d&214qLkKvZuo0=(>bV#7_*2p88GGa?z3d5L+vGGg$MLYTR z`;Ox-OZ-~CQ!?|mcU21shD?ni&plZiOF$juoy6uNTpi>JB<3R%(+jx916&ad>lLX`c#IrjBGywhGAugp!0nN?-jm`pv2lW_7eFf2~4rr zGI#5BEW@dt#+=<2-XSu#-}CW!wJfq6U4C0Q-SqY-)c*+`{Dx4f?ou2122cG~zW(@G zV%KDJxeSfk8>eaM#!GFS*8(|vHAfGVUd@>lnRE|zg->V)XFS{rJ^zg35IiNx{x~(M z!|wifxy!ic93Ur^CnN(+T=YUJ5>7c4bdx6EiNPJORi0XLy-1?CU*!RXh`i81Y8Ao; z+4T|U&~&(qejAJ;jJ~i^&_Kys897P~Qg?)N7wRJJD!Hn-ufe-K2uX)Pn+Wpz`6C1*IQA5R*q%N-v_-?Bs<%d>uCZPo!V|AeIv9^}t~ zILCB&Q;@!%ib3asm9mcMH$f`;GWy#NysQia+8&+VS~(|>2dPV5+iUM1O%yGG&$1|$ z!rf!fz4vZI4HT7p6~}i^E8tc>ixc_bx>mW2rCv{C>N04A&Pg@jST zE~jTFw0!gmbe}WZh}WJlQ$62yb+o@cQ{7^9G~GNvZeesPusXSoJz70~XWOBD9Gpo% zsmqC>3xmv4#RA(eO{&>!*J)9x&qM4sUfQdCI;v^|~kP zmJBGm{JhyXaCeoHJG19{Cewk^P>0dK?)%q^m)LJDEnIy`X!kbhki_+JV$@& zMtua~4tyoO0C;!pkAX>kJ?yYx9c(DS`k-gazFLfCIOqphzvh1tT1#g%Y#0}qmN(ea z`^W6qe*uQ1rxGw-H|diYA88>`NkMoTaBlv11A@TH6~L%A^Sv`4{~?VzbXwLx=Q>sL z69>GLlUHx2j&y9?sZO5MzWQPr-9imWIIA1G>wgb)P;+)a{U94iSKgv<2?G1Aye(h;%hp~(Q;ao383K zhn%x7uXD~WRINy@L{E+o`RX4ui^#Borl-{1q$iEdyP5=6F$7ukES;RIM}Uwl-{Qpm z;dD1n>7C|Zb);ubA~xR`q>Gw@SJasbq;E=Xj?T1^t?wdXO3DxlY9&sUp0R`Vh#G7Q z4pZ;qJK(S`k7NcMUP;WEEXx~rcJ!V5=XoR}=<~C5n34~064-Q`r1}B1OvYb?_E`{s zwSb4n7Yyih>mcToYWhslAChqiT-Yip8>iPZm+~1xAK+2feXs5FgIc8%i^)QNP8dTa zYwG&^93^|21tJ9ElNhC#S@u%;{JcY5p_2aM(Mf(P%Qd@BdVT9;l=YA< zrPm~$#EG)zU85TGl&KqBy!1be%_VE=8nFdgJcxOIG{(^bFW#OWrN-*U#>08KjCQ4W z!d{*`9{Wj>Brj|Hr`bt#5UR3j?Tay4tb~_}s1OPk@C<&A>ME7L!yQb*14e+uF2_uy z+aK6?2d9Wl%Dj>!xpwIclXZbA05_E$LMXa9Ovy^2x*B(Ff!=X8{Fr7!TI+X4ERtCQ zG$?|Zs#n@bH4r2+7fMU8eP<~FQkoC*icu0>+nCIjK2A1FElz+}0bZXrn#xV)FER@?0h%=nW;HVsZsy zvk@0svys8+VO$k*GvAxDX7mOCybfPHZKu7EP-!!Qif`{j-!a0}1_A%v=51(gzQK-G>UXgG zsFsqRRgG$soGNGeeYw$ZIjY}@tI#tafkwwDGgY;5cNeG0cO+Uqe%kfE=Qc~F#$aZ^ zD&=LGPWFNg7O@jorozJoLp}PgdaR3FPI{2ML(CLP?UZD?hHLYf8d*CQXR)SD~-pi5Hl$W}cyT6p&pW=4+$`#X{ z%imL!KtM6Lab-*?%8|&u^_TCmv!pTth)o_5$&4I3Z7K~{^P%Vj<0NgWw;NcYR$q`< zX9m0Tzr9&UpucVPEz(X1`9x+i!jH-hp)diPT9-q^4a>Z&uOZbcLP?T(2zsz-D*Uxd zdVj~!E0-^H|9Pw?fK}4__M=P+#;LY*5^+l*} z1{$RuUONx;C+XD;h@m*ZN2vzZg_6&Ym7FO@tZU!%UCY_(kteWejcI5i=Vu@-_8$a%*qQvE zS<~L`Y0hH#IX8Pv33sLH8}3SD*Q_xvk*^!%NUzq;lwi{kR|6%x#BrJ*T+dzWrt4dn z?b^mtu9L5(s}g!Bs)auoZ%2H)!dsu(m@HKT9_Snu{5V&a2Yj*(4y`Y zQe&NcOF|2BWWFaOahWEOcSM&qb3c4>7cbsw_z^XIgI0`6tH?)k>J2m7tJ*i!&3uTS zE&I5o+LuY_rDaLw8|ifr7B->5dJsPCxu=r9Il2Lx(34m@~! z=&JpAAus@CdF)hCye(q@O&ttDBUEjXfMd~LJ^8E15 zu0jw|v#Kw{w_!R6AuZ&n7)X7YIa7tCHS_Okei!=kpL?yunaUa@0jzuJ{|Srv+m0S0c2Z;KX&GOU{}gX^SEsC&pqi*`JEZl(>Xmqn3`PU z@Ga;7%{BLfjJM0cl@;zl>VrV=A%k?+RW{aIFMXKa+U z`y2Iu{wqh{%yWP(I0x7QcIGzj-;d(v%bh~`yPShTH)Ck~p=?CA)Zu4C59F?DGr#&~ zFa}@n=LQ8ZZgleb26wJBIlbW0k-tLwxyzPz>jGZ6-2whF=YX!;zEYf}P!-0Tou9| z5vPw64N^9YdpnZNy)o{yl$ArJ^dO4HWy-{oRE=yRL?v8RPhwVE+yj>;Oi@7kRUI_QP#bwxHm8W3PEPM4B2P z5f8%41uDQ?+&7;}&4-oiG)+~a0(pOA$_hSS=jp$O*Sm( zV7D<)Vg>p(s>N0f=-a3kfr8>zkc>!8NxjmOb5T}|fBe*9bx$8HHgXZUnC+d} z$>1(#UeU05nTsAncyntvs2$lBzx3;byj!LXg=20%>c!8Do9M8mU+84FC35>A;Dpa- zW#ZC#3LXJ8(TjV6NsC7sWU(X3O8c*3bc4Q*p2i4{>wW*seUs9CJFwVBk=W)^^s#K7 zW*M5|I5KQ&m&QG$2ibc)&f$oK_OTlib*Q9f;{{Y1dB9yRIRrtI@xohmPgVJ*Nq4`r zS|6cb3D0R7XVtmEst@P)F;LR4gjQ;SWqh2|WX~CDKk=aO(4aj?hFM@jPESbCuMhJW?OYvTJ1NkYD+FvE)#b45MS{Ks_i+p^2qJ& z7X5O)C2 zio6=5)Uuv`lN_(ql9=kL+?n?OXa2RpzIFcp_8?R9+AvpCwrt}oB58^!b>}rkb6}lZ zEW}-G74pIM#a(Eee?!(T^8#%zzxUoAUjxcd=eets?t_e3tDlc_ubwxBUdxo7)S(%& z7Yh02Mx7g@dWA}`4z$svUAk;3x@Gr16{UHLDa9z@|54Q2lMVYe*JsRXe@k|GeYQMflGlJCHU9 zMtTFzz&m##V7v@6N>r{~&1?Whn$6qRidSE(aqtNkTz2p~UjE>x@>b&KD94Qq#K0^U z>I90^F>>H!l*p6~6X%Hs&O2cIr7A9)y)Ug_824iNv9FxnD{fR(!uLvAQ5Q3%Fuv>_ zlVS7i#2QPS>dCH4;y)sc&|=zquN2*GQAmc~B*i5AYk$c9=vhK=9Ute3%{bC-eu{Sc zvB|}uY;a;(Yjk;NcC56B&_eHxYpY=Z)!}<{TYItIO}3!NAM=ktdKCiUn@Bn`RX5Ka z)t%zAa%Hsu8p$#M(|cMR)`j6kN|O+Nr<3CNu97IH7UWU-5g=O8Mg{A_ z!~mZN5hWL+h?Ifs>#%ioaw0Cq3qAC>LQ^!wUmL^z0^X45=`sjsP)o5qlIMN#9;{V< zu^a`LZ;Oj8q_j@wZ17%m_Nj`j4OWQ*wy!T4LET)5$Jx6TObbyIv6c0K`?lWlOTDP9 zMW5%J80(iQoyj;XN5V?16k*Ipx#x1+OV|dA>MxmNnR!d5PEO3d1=;ulRLH4(Z|d5F z@soCi>pU%UNUb%H{@&_H5Ye#{c)i#LT^-KN0Ju9;kP$_yt8b4?=#K!;Gd&0|;9tlu zP~cvVHyDGyQ0 zxY})(BG>mB--R~FqT5Lnnckx7u1D}B$cyqO$udp#r;4Y_xRnm~zCW=2ww&^qaOWHS>kr43__D4U;7bYHbIX)94zxx4yrU z`qoM&!?ouC*Y4G@+*)47`H#1!iqf}XHEW&)=U9+~;cvhEAO}$)3hD@BSv?pO?Zq;9 z=9gc3zvzKf?*Bws12#@?DaXvR?p@akDBML!M9%B~#S0{W7aCbB0eT1l__lf>$el+& z>C}6T6Mh$daYxYQ5&-8_@ORn%Ir+VnD}(a#R4q(9+!3{%(S-8d(~uH}K4Ac)#_ zXU^DQxSqZ!)()uobv_!GWlHG`ZA{laprBy39mvG< zd?+qC!uaV_a>NkNAYCzzyg zn0;hp#a@a1=+h8rGtA<*;w*cdEbogdg^cGV1heCcg;|qGiMFd#oVkahF0R$h3ZJ5U ziv|VJYMAGWq5iS3RXRwPFNf(}MWxJZ&Y!pSjOo)NKag%9a!J@e#P1XS9gU;qSkyNwgosjT8t!^AY(($Zbg7F!e*EFuE=QEBRnYWi)JVxX7 zA32p|8;8{rh`Y6x#qrai|_?Q_Xl;xsTo$ zbu5AYnB*KcU;>Ym(QjE%#exc?5x@d6r9*17=X73X+!|bZ0~6 zYS0+4{ZQ91s!OTUF!}=ZIkd7z^h4kEL4SE?B0Z>*G-9%iGLWqdIomtG{n-Ax1 zhPXXB^{Xq7AAE<25j76X=KqO1oJoL5{Zv-Vax0|-q7P%2jQ@NA0|?sNMhZd%M1j!F ze4;X_J6*9*rh7t?q1KPORZ5J%VSUiM-$8f-ZzuWk$eaCtU-=08#u@vAL`oRFKZ?pKf>uiscvNPwkrF#yys8h+O}ek@{G>j-&E)c-br{UpeQdg2P@RT$ zx<%6t&G;!$Yf*1%IUWfQNZqZXV*!F5iOt_>+M$a^QFUxExnDX=uLdl?>`-VeJrVD~ zIz1~`Aie3OS0QqW>BV?>FBQ+$gq~8dCa)JGJzm+Mcv;)LbxFcDY|-#`S@?F@=XUx2 ztzE%FWFiXzz5@S1nEfwj`3EC#k1oJxINBK{b}P<&7MA+s{`-TG<(6S-t+*82CBh^z z{+@RIXpbH$^kq_fY5vXjyF!v&!S7IhGEX3Oo+4dr=vHk0wz2(ox|6>fbfdyaPh3LI zBtUFS&7@4sOgH1y-6qAAV$}TE$L?Em863^6g?{&X03-?!TpkdLS3uInLl6_sXsYUJ zN2I8LXv6TbHGYFr!SEj+RZgiDgaQpb6IHLeYJTgG^p8E4cZ)iAzk7I|pf{wJ1h+`Mm<` zZTU$}n}eb~ckyzq7)`G9okPWo#S+)|pK8`tQb;yt)x%Xg!@uTVK+1fNY{^>OlX$us zukBYTY*W;4nm^TD`Kw!!qO15@9sOpkmx`Vu+MO!k?*!BlP_pz~h|pn=__S#DxjPb+I}VZS*g?u0%NxYr3(# zqty(RVZ0UxwJ~5G1VWwTt}q360*;cxX~w zu62()SdpGhhr9zFghvYJ*3d!l0~r-}73NGwTp1X!EcM*+8Tl%AiBqm8KzOeXL?jRh z-U|y6`fr?(0l`a#um6os)Wne0_bQ_7^rHLJY^UP#iQ;^B1z~Xg{mW$tt2_ zRrk@T*)5>TPbz6D59)*AH#@`MyhFC=Q~Cce_7zZ3b?e`B2}pMd49pPH-AE2SfP~U0 z5=yCbcf&9=(k-C~B1%dQ4Jx6Oba&@>!1vz!zxTd(t#2*PIeX6mXz*IlI1TibPE|uoO3ynkGh|wxfhHW zabCv6s*J6K-(F;B^VYM28=IIEM})+gP4Zc8FEUda!-uoie(&zLY@HPTmYy+*N`Eco zsq?K;ncME?;kcs47lsd?h-WYJ<6kTG1?*hTqs+KPt9<$Vu;)kN{nu;VDQM$U37|(& z4XbTKB2xY>tCVD#iHY}ii1@0(&K-gVBYLnRgoIA4tl+tBK+2MpYnyh zQrpv)CwTB^%{ascu`%@ZBY;RaTJsm8R<5wF3JHr^jZTNDOCBV5V|y z;RawMQy^psf%{xhmS&bpo37nbn#z@-qril(Q{Yl0elI&*0bq}wM#2lI0D|eCOekpr z5UT1VaG3Q|nEjy4(QBeNNtL{Ja=O6N(QMz^aFaMZ zB>8!}yyK=%*@~rPrwjQzEdN)lcKC+dY5QKUWr$_g!lLALen`IG*U5%YxvC(g*L17H z2p|*6K2Y6yuwEy}V5<*5pdGMd`wD0V_5r@6Zv=di5n7FQGZUxZ%6-H>Wu@LI2)-=> z#CnNz$i!4BVk7n`R5(G^mSYAG{A40zlK$}x@Ooz*FjD;HWak1X5oDwhw-kKEoeB9J z&%v#x(AmSRDWO>(E!oED50Bc=ngok20;;`UZxeiZ?o9L2y^gyQY-xxhLd3_l1KY|n z*+}AUoLq@KT2w-My&lFrp1vxmk_!U#Qi3P8GMNsW_CvE*S4xu~qSS)xuPIVUT(7zB zgO;uy^@T_L!ahs?)KS>(wU}j6PEfZpC(nk(@=hIJYW77DaW1xZ6TN4PO`^zVUU49f z!d{Vy`&*ICo=qhl+mC<=^V;LqH`-TKV;Jg$T3xkkNLUi9yYbIY825uy$y% zeLR~>M0qk1{>eYe3hm@EKbya4q}E<~H_DWA#@Fx6==6R+g=y(`xIJ1ZQ)(2ky$i|S zY$uJ-ba@q%in~AgHRE(L7)nU!>xD!A@_2WswbX-2K_4R+!9@hCmQ_Kb-2>eTMiik1 z1L};G*_w;I91i1u#*mb^LY6;bvX@StfUJj{8xM4&==q1Vqp*}KGFm7oH)zM}L|vN7 zzYI*p1mmQdC8x#Ti6p>sR}4h0IByx~{%`!?A<;tLe_}vSK48mz7Qz`h&<{xP6hp%mk78V~9E$my2wMR|uz3uUx=*N(2dRHPB zU-x-YB$@u>E5al4{YI1ZDb}x|hR(n1bS7 zhvhK^ecp-=ma9A&oagP9V-#O8*>{^Tg5Jjs%B*d$vRMOvQZrE&W+JR{j7Q8uRhd$O zQ+SFu1m?jyw?Xx}jrf(G5CzT@VuK9}O7R&op@Dx}Jo0^&(1VVZ-T${!XUKXRT4tip zFc}bS>?`Ub2!kJ7=RtHfqKX=rT)VOHU@pFKa?t7ag-6>DevNgz`DDIDUJ+-mkDz3r zO~Fbu=!ClW-y+sLE*s_3VCfb;h!Js!Yhv>=JW6a*KIiwm#H8Dy9Kf%aR%#ODNteI7 zpcb7h^<|rkZ8r<@m33M`F}2;R=%LRSscEn6NFtZLjc zKKQp!ob+IM8DJCK2BAkaA|Njwls`FxG}GH`!q92Re;d?;4q+Yo zN9S-`xYa|j4-Wq6HikI>MTZ?Salr}7@GHCtWMdO4$reMfALLpHh)dmo-m7O=X0ZGc zDtvE<@X&K=s)GoM;Xs}0r{GfREYwxgaFovtA;Apvnc6nWYU|2=R_UsIC&=+a%3hX3IO5?ZJQo(hBua4`;OM|D-P60q%DFBEoOn}(EK*G`63{B-Op6pNY zQVB}gvqed&+%k{H%dXO(I|T@?cwp#)BGDkAJ4(z+=&J^Qi}@_dp8fcT+9bsG#u~s2 zo&@iAgux4Q-4c2N4(#)1-U?BnbEoqGaQC7dRJd4G^%7wIB>I25GZw5{6Yjf<5F{bS zf2Sl#tf`nTCrV)Nq;qA+QI=;_%3K>;=-I)bcGz=I+nwtNCu0joA0ukmx3VOEPE<;w zcfF)eHf=cLS+Dv@o!0woL9@`7Ce}-hCF_+9A}3|hj|8nPdh)!yNkk1l^>MJWmzYkj z;$wWuANkT8l)k`kW!K`T{bjU$s`Y7u6C3>_vQ_09JV`BgI)F)E5246tNILS;qO=(Z)*BY#K;g*vz>d?x%mgy z{9Z^HtJ6dStH+ho>D=(jJc4(K_bWa0Mv}554#7nw_EF&InyX6jyme1l|C6XBE2Z<} zLC*7((HO9bLMi+pMi+(FyD9JvU#ivVq(~=jYpQ3HMGj6TOY8A37USW%=WG(AbUwqg=2T4-Tr#+^NF8ZB` zx*)2vRs^ap8->y{|r+dE*~<~ z>yQ((f}$o7TrRrR-5N+{5um~hSt@E^j88r$bSqjI?P!@9mrw*p{S#(=zUxJvI02 zm5(joJXT)fWyT3!+j%;HoVM12-N@aP(3f@ZHAnWk72{`Cz7m6V?eoZyGK`|8zYw%$ z`1mHi{@uYfht=H7L;fWX7OHO@HjjplGI(}(U+or-Q+KKrA8&k}SDGuFIgrY&!+6eX zdNpiDIKSBHn7z!kmgGSur{9LBKn#6-%?8qViV1{5h1McluxeU2pr;IP1GLOrnv}I9 zkb?jgp*Sk40>N_d)gd6CoO(9(j~M{)?chR#0e6wiOn`|8KwMeZ|6Afpe^8YLd2`CL zo}OYR2P{gr(NgROXR>bNNP$M%xWRIllD^y04&3@kEaRHwC?Vl2%gPZt9A%vA>F@SoYb92LH3VD~r{o=Ut}`50fY z2@z8;dN8rb#{M5|KHN%bg8Fh{~+>B3j zY1d4aXM#U;vho?_rx!Kbvonxl+d?PofHD-~IW(;P)b@J*8c=qlFH`4NAz6sam{|dh zc`&RR3{`E3P*G3?11BVN0>E|jc@A(L(+7Yx4gsomwWu;OYpT%t0Sch-mj(EYP4}f; z(tD5cEUYpZ04XCTs6QPWm>RsV37z!bCymNu;*>+V0R7sCxt+vP$|G-ORNp6Py(Bjh3hroaFI^ zhNu&i%Z%~%B=u-}p{Oo4Z74?2eZ1~vOj+N2UBYfT&*Dnm@f8?BDM34d5iknXPj&HZz=@#I$rd9@{ z1BLPKna5j3fxW7;bepSfvV^-PIto`$tH=^2sCm;^h?9mpK5H9s5hPaYLPG~*3Kyb6 zAx6*-*hokE6#oUczWs+wv?*>I*~}Ehh(HPgcsvX|@e3g1(Q$(^@(Zo&Rsl9br^q7i z9es^u0Owdrxy_NW-f9)o0j1hSwaTKox9bhf^oD2*blre7IObDmGT|+&YB|L*B266o z@EMf{0P4V?vW?%twOHtNomgn!^Z%rv<)sQGzpJ6&&ctaDUQJ|1s?mDqa!>iX)#z{Z zx)*J!KgjvsA~-(0uzZ>{f-kVXLHFquUb)#C$2)*2YmR9{Vz`adayIUARkO)Qzxbo= zA;O>vzu~MgYmZ~ZUwtKO(jI;N>j-v|LH0JJ3azRe*a`q#6-a=5%YF!JSR2KB8=C{D z6vlc=cjPstEEUDbvARon-w|p8j8YcPeAjwLli|l(`cZggPIB5(UVL}U9FPy{{s15z zCNBk!=zE3gCAbZ4@9{m`ioO<74>}(c%~em*GT#SLZMV%5?-Z->cYN zbaSU)THG=`1OSEyFe6QXa;;t8AU3LE;Qg;6^)x@o{4KBTd6wjJ!w$w}(&~~(l4|B! zl4{fUxJFgu!Q}?5_kKaKW)l80*W_?yy)%k8s&SXRT&?BvR`PVa`P76>t7oN~nja5q zkh6e`E&s_vuJz6k;M(Wttn^mla7bg3Cb8btmIQj%L zMA2X)iehV{O!yOLD8)?$$cc1p)A;m$zsU~w4fB992#WxIDXh6Q|2ylai>-0>Sr7eYo;Z)GT&V85M3l%_m#2O;+{AynF7;$DOd@?( z+V=^E_SIvp+>0+hA$xJyU>S=*?bsKI+E)=Mi`n?vS4fn_aFTM;p50+$Z9=X596DdP zHsN5f3)Pe%xU23pPLE@zD!6&&5iZ#8SaN%~iAT%1B+?KL_-Lvlt64#6iU^Ei6~J-| z0py4mj{zRP|m8xR3<~fd7_~XJ#=*A7d^Ev*r9FAO{Jvsl>oscz3iN zm@s4kP9L%(A2FWVlHT5lQM${*7;l=;h&~6Y-x{kt>6vSata{aa#MWs5*-Sj)D3t0P zGn%Zr+^pPNzwCwhDq^Kvc)n(@GxM@o9YmP6`V@?j8X!z%YV!^vO$G`KT1>sZ9GMk< zv}Y++b-z65QF0t(xVYXo{B%P>^c|}wED z@$M5p#-b&TmRvZxoG)71pZZ5wMxwcNkLCR3S4jxm_0_}LZG;c8SnHXgC^`sf-8 zs8HcjbtGF7WP%kKJAVMEC$zu?%Ukpx9DC~cf1@GVv`qc|w4JD-f!xP-e#FrZxKD-C zhKd^8i!H=+CZF@R1*|^CW926yoOsTnTx#KM7Dn=PguTMFL0--zd*QK`lck*NGOyO_ zBk0^rRph5>W2dqxM7Iu-2!4x-%r!n3c#!dk@?HJ#yUI{rp#yBjY8bMp7 zqtQ@7V5L@2kM|5+R$^nzLe{IwBV@?e746yY;p+tVbKCouL7@aVlK}JkEDWxpqXRGY zm7W9mKQ!KgaqKt5l`QRQclp0KwLoDmLS@nL&!?VgsVM?=QdDa(c`J0+EGQ_45Op#SfVs%fPnIezH z^7jPrnZY6Xoo3Q^J0CdtZa%xYmGvRd@TA^9WEqR=;-W{%!lKJD?1`zPoASPAvBPk~ zv8uJBKe{4s&c(tgK>_$ksx3lj}e#&MZoPT88JukT>s!0D*_PZRm!W*8=;u6>SWQsM> zII#hi*nRhT$)BQeLND^;J2kk!VR}2*eD-&2pAE)b6SVKDfJF? zxgMh`mD7r|O3($jO46tY?HSd36i_c3g6ocUH5ahZu98gB`khne+(AYNx>XC6@<8Vz zry;6Mp}?3^kX5*sjVM&*B^b|Ex$zAyfA`g)xT2p zSSsS~5ipx}l#+Cvpjb?kpgfYxlItw(7g(<77XY{z)BqPl3E*O+MNO;kMAyImu&2(F zveWmiP%1q@&R%WAJi+roV4FJ`2R@-;kw>4?rzSkzHeI3vtVZWtNQL9-s`&zorbF@ z(d!-Iei=YaLuYdp0B;-)fcML2R|EVI;cHpdy}KfA?bJ*vsWwbgXtup06<65T>2qer z7xs~UwglJD7cXY?G*RU`Ib;S8)c;pDP~TU3Z34(6mKmYmV9cd?fCbLKrDG?3?*A{B zoOC?1up}Q}kFKN9o~^of-;>hvi;N5<9WhpAMJFN2imTp4U-O8q3CYL9o|Gq|otKi2 zALE)|SA$)`l98^eFMVWV%WqyhMdSi5i z#n=;3_~5l~~!gRn~$|5un$Ax9l6o>hu`LWb(&#-x@hRxgO&^mdsI(RCcSlXZp??v zyGo)1yD~j!^#3w>qq_XmeytKBCQrnQAt@iu8Z0f30;0t@&2N5#6WAbtA!qDX-ls^k z5ORX~sz9`OA(Vo^HGUEdECwLBeF;F}ivSq6@3~+-(r_^#j&ff#>JKl0-vsCm=cCep zhy}Oo0^L?4z*1>v7xqs>DPLW%QD?C=bvFEo_g^k%JG(A7w?8fY$vFdT1ncV)z>3Qo ztg#pu7cG?3q71EcjCG{E^cMxvhEI20G(G`W-Uo$~I|=Pu3y< zO8v(?=SYdKhi@q>pJk#M+Rl+_T9LK5cY^O54^wq~_$8#YtM}=}@*H`Lj_gu?=Sg=S&Xa<6BUq-h!nGRgvqWqg;9=Z) zLV^n8dDb8L5L^MUY7D3}=XG-Y9_Y;A{V&`A-*=h$PZ@Xp@I z2T|d2Rd?^(#bYE|#ba3Usi0xw!!OG6`{%q#4av!hdh>P7`Q?2&Sd+I$ra7aI-~fLLV#P*WSbF)vBby%lL{e7Q0F(2Itu z)_AjEQtsndLR2np20`}*4@8)$_WH>QLYc7I%yF0n@I#qQ+swNcIcf0aY6^I%=9KB# z8RnF_B|n-@f+*#E6Yhz>-l-y0Fv%nex5|oiXgV8hGnNMe6?#Qgj0w{b{<{`S5>E z54|S{u6aO;t%c+Vew?=nFwr_WQQ`S%z;~dG#hn+D!`j;c7(D`Rd8tr;IOalCll!vl^=k|FzUFK4z&O^ejTKuMBp8eC7pQMRke~#sU(8&8g`| zAyib)GCJs4;&|)|7KRmL5Ryd9pfBPy5V5ikOR)*x+F}z-qG(uAEd^9uzUN`7#+rO* zLh?nWF-3^MT({v^s!fe~a&Y^$T1Gb>+xUfrf%YI)O>|b?UKHG-78G2zPT&fZDROyb z=Q^Weov4)*RZJ&}h)Fh{SOjC_0-_=(9NYF6#NJtQB={Xu%qQOb+JV!fwBUed8ZZPG z(XhiaCyVrQzAkO5vQYCeLtiB6yE2TP_&xQaB$77+UZ@78_y95LE3)RxfSU^kXcxH9 zNb~<4RYP#m;j+@4MYb2Vf2AL=N{v5EfBv+L!3w-lSFW)Rlbh2nYkBUf277LY5vjmyLM`RrDOcii1-YX z^K>>lPESMYmn6ICb_{X`L|mk9|E5S2L00T=p2rqDy>_*S095#kf+|(uOIcO_fR{`# z52ce8$%&lXRH1`XDjY-$O?ChqRp(kGl?wLSH~Ic{RpF8+DZDR5{SqL!paHo>AaSEE z3Cgf9EC9B#DOD{{h>N;4^-X3KP2-CV_GBc9gt@y; z;@d3t_hh(sWx(K9MHyxnPQ;GP0m{?umnRDvlj&O1?bKF#Y_aiS7nVnr(vu}x-`l0m z75cX;!FHy#c_k6L-4oHw;+9Ip^nCdzjpY5{JeH?q{ZSZfAvuC=dU+mRC=bI*6;3QU zh+m8a6lM;C1v?u3`baH1+jY6X`@x@{J1b-napRUzMS92|{M01M%h>f#ish);^1i4d z9kMh1HvM_m?|#nV$w`_Yjxo@TCAaWM1!4n0NxqP$!b2V=^yD7Sd+Y#tJu#pyb^xzw zKpyXl2;Ku2^*1)adW041H`&;mm>A&uCRc%x1-I0RTf?oS2kY!Nl(8E-6yWZS3)3?| zZV54ti@xsWUk^&VvEs)~e z5C??h5pG&-`|fuxrJ^eDF7nNGmB&$v(G8wYFqG0`N)4XtMk^e*tGv{TZf8IOARGoC ziDpE?Sb4#HkLK5kd?d%d73eHe&w?9XC=%~oH8HcwCgb2@vk;MR2gGac0$RykKr0y& z=cK#qyj*ETa&ofeG2r3LABR~I86OH)RE4751!T`2v+NYw)rNq5aF8LeEP!UE0{Gk0 zn>4iBYanH>gv?{TWjAw;gzLq^vv2ZDH+Ezt>F7I8+y(B-$Oz|rg<^hx z)9hi4radDt=cqM~ekesdQB7Zy}3B#1lMETYA3*i39bS>`>g@NO=eggP$qaFxE6G( zZ@4P+Lh;0 zh5nHr+o<(kCimx{5#W}{K0xCbiuaFX)&R;*Ks1Ug>+_+?-}6@_+S=y5ptB%y z%_jQqmBxk%==` zMFxUEcam?04hk-a(K|9*4)ZiPCpKYkW!7Ff9k|eiu)J836MM@FD5ovTz9SUdscmwZ zrS(~qZ8%5Jop_pw5%F4UdWaADX-?c-<*lBntmyIOd5yT-F-_d?sR{ZV_5eoWC4=-{ z$(a!Pl}5K;KYCf?^s%HI`vvp5#mj02rEJOdH#H^Y$E6)kc^}@EAFp=Y8%>0{=6#^e zUQvj9KKX#sYMxH{jaAd!bXYLZsezcKmOcGz0Wna2|d31do-xAxP5VNjI zr{J~>wT(czSVfxeHPWe)*o!O8-rLHko>UnfARfHzesf1{+hF6u!!iHeH;Btu>IBk( z@JuIRkA()70!l!zBespd+L3bppc}W@*^?N`-xxj^*PrnY=Ha^hO@?8qKWK)*-F1C( zcL}o*STA`0=5L5N>VF_I5dhzM{;KB=EI*nW>k=mUOhjlU$6nAuDJXy!Y;UdI)FIC* zV|>S?nJ(^QC)u!cI&)z=S)r1SApTjB`_LrWFt3#2=r|;DLD`;o%RntLqo$yX#B-Bv_J_WMD>Z+l=TXV_2$Nj0}L4M z3W8|A<`hIN9~gjyraMqqe^Ap#>bg7`x0pbheNVFRxtnC6M)h$?HHzw^KqCIHQv9fq zRD90Drw~ z$#9KM*od{m4q#?hbE)LOmBU>Igh%CoP0Djf#2uP^)}zyPvQobd$jzPG$vUaHskhaHoCbXHq3!(^Mw z;yv}vRheD}iMb|*XF&;>QK1Wu@wE~x9dz|ecK6H6^5F`tD zwl#>HWSlnUl-Om>oFcSTj~w?P+3@~yo$53pXu8oI_&XFG1y;;?#4$yyX%_JE0j{I} zu7Zcn0jz}FRq~sW`$nRqW`N*2(y1O-MsBqhS|86w>cy7N{O$M*X}SgEyPkHxLzx-a zVpP%)@Y`Z6ddWn0cG;FUe%WRkFQx~kPkygS4h1Y=5XrKoZ_mTja`d1A*oCk%ZE-fl zi_Xio@ns3`v@P-DyYp6UDXV!ieNR5$Q43o+`n~LhSLS#~uD5Nfqup3md>*EiK6~{W zFxF_C*25sh7>z3jzndogNYWOJ@>b+Mq2;UmI+7XMk0diznpL3{?KTA2ria)*zaB;8 zveuEz@3%#-DWR6t@jJ`E${^Ibjy=yHysq6jc(Wf}Y_Oz*u1{>IU0ouF%mWlOG=N<^ zP`L-}t>Mf5&m}~LY`!(=GXz%_>}Hnl`nG*z(s`RL6>0(eqLSbmfT-Xm5(1F;RsH-A zO+kEs7r#AJm`oP=)E|PJ{AHw9s%ov%Oqqdeq^Boz`Hc$XEN6w-Nbv{Ub26W578X6# zU1`l?BTh_iTof=QQ-5&xF%5?CAH!JAQJ%oca93H|35LqBFv2xSDOqA|; zBe-^Ss#}2B9sq^43S0~uh5y;A|LToEwEcGM@23H{8W2o#ggr5+TCUK86hf1dIDATB zi#TP7k!YPoPWr;0E(vH-jvQ>U{?5&{NLnuFdAWCKzTqkOXJ~AAk`0CBV(%UwM0ymr z>REzsp?goDB}kn4Vcw0UE9<+xZ*TPG_Ai<&7uz_$sd+O{#_v_epGZnGEp8fsD|bBV*mTJch@9<1Dv4JA8#X=mF6*U zYs$|^E=o#o$S(2&hH+)!L(B>vhMK3&*C2*2wPQ2pH25z+Trjgums@*0N?bBfO0Y;Jo<9-Pd-IO!0XYSZ_M)VAJ%&auu82;a9|`$q zeuYI24M10>aXHb7n=URor014hP`YF2r{*p8WR^uwG42qM&FWNpDZ!j9^H3thucLP@b!hlOuV*%@|d>nI@P<+9$8Z7z1|$~#DbMW|j=EiZPc3o4rZ~hOH5d$@=}*(PdL5R}Jl@R$ zq!`D56r+K?kb1t+@jiI_&1XfA?PSnmLbdg;QX=Ao9uLh1_osg9^m)N; z`{i~^lM+(Hk~bUkR^B%nkeT4mzo89y{Ze=L#d6m*Z-Cp9KJ9*(o7*DewGO$|m2P$1 zHDY6426PUX(@Eb}feB*v2J^Lx9s%qjOc3oinHcs1@Wf@wjW64Mm>ApiUt{OLhQ}L{ zFW_kB^|xzFa%xWgP{5MTth{@Lga4uRL1ARH)jgK*_-^-KaOU|J$XmhvH@FIT+kNb zFRiMuiNmr+ zjE7WIY^*Nk%Gzf_-n-o6Y&l;Vl)(**H#1tUHEu8*LGzibWR)A+J!CvEygsFYOt6G9KhI(bwLrdJzGltmI7{S$t!q^qY-C$kjmscS z6J!8BmGQb*C$xg4Toh_RM1 zb>S?&K(aA^!P8h7N#3pG;!}HX?1V1=PTk%H-zP#2Q#0JE%JHI|NKKrom0;lV&}TO$ ziK2z@>iV42#;`67n|kIkb$^vxqS>43^+%jNl{`m#8*2wU%)a^71_z^04PK(YUUUgC zlc?6TzgJEhQ1#N5C|SUSr;||n>MeO(btaM1$NA=HS>qWpy>&H|M`mch&PaAf0b7qI zjP57PwD_OH7!puST%WJ?kjaTiPDsQ3sulGQtT|!p$WhLtzm7fY^L1M_zcFJ^K}THu z1WbW z7(Fbq7EuTErA2_-l%gc{{L7tx3&C%buET~3yhnNtTu#z|Eh~Vj17IOh%~u%#a}GI{ zhi7ICKCp*f(|hDF7}WFwmWbna(Qxo6CUp2EWa5MfwA;QKDVX$5DfI`>o}W%G$5|A# zVAM>{^u3>sN5_@oBKc|58vW}HoBy;yJ)O5)G!E zXNgV@u@2LiLcn8HG8HJMPR>B4Vt_a;1?F0xJ6TC$INGbHV7%{XgW&jmVy5EZ><7nV zD}xs2_6N&5mjc7ta#O_T_82(*RH$FWs8QupqESpnku#Pd;#dJYxL5&0cY|bC-pDaU zBbkW4>c4IJ#3#oT+j_Z*gK&O;E^k?#E64VsDRoHs$gv|Bt4H~Gne=ONVccfb`&^de zu||R~M`I^LI_LJ@D=U|3a}Nv++ru(V9bVZLzbY0maNZav^0_CiAP`PGEIO~?6eUV* z(`iWj5am6yrcZ_YaL$B?M81_$Dyx;!Nsmfjx0YU@(p3h9V$4UbsS%6u?z>FREZ6H< zrn4(N(rGw!^kittkBX^8p~&W}WVP2SlG%QFO8N&1+_3eCpPWa39c{mpDLVsniZ89!>Q{)A*6?SH0em3Lc_!SUkrb81_c1AZ&eVE}09+>=EQ{n9 z0@2FnSkLn#93BH%eJM(w6<}l%PqZSe%Ohc1L@O7&ZYo-wd1=bfcXp6B!Q@orKiwjmq2Aa~ZuO->h zLET7|Cy9x>7B2kY#LSCJ&=e#9|71rKcg)j|SecEEv99?mAJMBHbs3UWU);lFByot& zZ7br!<7WI0?&J2wvf{%)xPIP#APc9TKUwjECLsX~za&6IAw%yjXnHMDvy`4E`wt&I z#Z`Bo$^aE$yYLh#Y;`zVY8^vA5p+%Y9Zul5-SxfM60Zx`5^wIs`e8M7CBQVFZk<9% z9+_Su9?Lsbbz&R~gGBWdF_e)cbRab+1Xrt0HHNY(&el>a4TT?J0{N*kuq&Q6>vhi) zFx+qE>2>m}$fx`d#b}z60Z>`-pWF2O5q8~fNCc@WqFD5=krXxzP4a21v+}Zka!fn> zu8&Tr|La_K%YSI2Eno%da1lO*P=nuD#`j#Z{kv!Eh<0uq0u03-?)@NOS2H=AbdQu) zQ{BIm*cuz5=PIP)kIRPH?YL@b@1sk+wqGUYmg*U~C&BP-WZu~Br;k%tS#s9UNFrC# zgN--F>G%V}m-_GCpp8RIQ5-P+MW`GK$pSXb$}b=D$%c@A`AsBi@U10#i(@)#SieE) z?c1&~83FM0yae2D9y|5B{&&S}1(O5S+sjLZ?~2Dj?KFPdm3!`0n#hs#Z$GN>SETGF z#mgwByD~VRtIim!P8qAt86BT(&pW+~`kkVn{!=Ode*+OIBX{*`)8L$t;w^0=580A` z+_6ljZ!HgMO4nE#7TXp{81fUwBZlYfc%-g_M=~m3mQNTlha`vw_GJc+W$pt^kDY^a zzzHDI4t*c1i9mr)MP$b%+2i_T4r9MbfOmT?lh+X?L}acXslZ!29zsE&P5cEs;j;{6 zYGU9m)bFF<@#9KXDbe~_XFdXhc|cafRR>^z7Fru2;7@d*Xj%UfjCxF;-hk9)&i#O< z^q(XgBiUj>a#;YU&8KMY0d_Xa`@c7V9jgSB6cgST(bbC9WJej_Ak<3S;Ixtuu&~|l z5SrRK!z6iw|;Ax*8}GiLlYojVvrRJF3f0Xlcu>4CyK zi@p-fGrCyg94#g8p=GDEr;mey6Hg>)=-QMtGw`_HWl{QkQv7(d;*rs?_Lrtwx5`@A<$(`=oUY4R zv{`-+GKa1rYUa+oM#``pjpD41%$o1TPWYXUzjz`*Mao{w!lr4btWMYV=P=-C+btLD zxgI@CU37m3g*5l{CxjHssjg7FY4(iwd|88wD9<~=V>_`Cwt#oFwx1d(biBV)!w zORUrFBk;)4VLqzhtPmh8Igy0ASu*HcqT2-cuh}k znvs>f;a3uK(-G_Y-$?GeIz4Z$(#?Ax)JV3+?h&=kP&#;oCx<=r2!B`mRWjIN^OqzB z8zJxA-3!&e2NzeyeGklkqU{qgic$b4vGm1zpHqWQ=3en(qbk`D&Xp#n2D$gJE-}q! zh~cOkgI$SC`eeM14S^H?B4d*q*AipXYv9E2vo?YPxHT)7=GS7&f9>yTb%kmp$$vdm zA$YnoeVFRqa&VW8(P=f+Tef0sRA+X#Tjr%RJtp1y5~KEr=KkIAibclG1;(qYL9x@Y zL~OC^9nx3bfu%Mec?yt57UE!q4qqvY!_1eUu1zq;(pj@TpqwESO2PmMrk_~1&bRh%BiQyr-=rDV%V$l}) zlmqrs^JP7r#0D<%X#wS8S&u&59LXh!Hp4y{cpC#}ndx_N>pqdkr|5 zFJzxaE^Ape%^~AH$)83ZPZI5ucZ>6x?KZ6gAMTIcUE*}|&Z=s8|Dp*Ev?*v7FmBvr zsopd<<+ruKsY$<(BA@l%sR!j~r9LWNQB{jNr$D(2vI;>*99h47eEZOPZzL_&w1WSw zO%_ybwYqh0ZcBbJh43C0_X53Xxf6IZmvwGhOtp^eukIH+SIk-0Cux_eUZ@TK_T(R@ zGAp1|_Mq_P7c=5H{w2@|wM=i;uBW6`>RF2iK)C87hKh4OP%VqJQCA%>e6v=PxNy3& zPY#2LCMYwwR6Xl0KKqlNoG zK`AH#Q7p;K28;jli44zJpSdtf6|v12rAnqpmo0(bEomMrR~MVO@9Bam8>r2 z6VL7^>9x_zKK?!|8=GHsu%NiWOgguQohKU@*KiTb(NZ28&or~((jL&W1;{2683hb? z@a0ib5HGcSQNc2|_DHb0>foasGFLR!pNR?)KraS+m;xPj&E6mQk6?#_R~2wUVkW@r z{|1(CEr9z47#7}YERCB%!iCfF3z>}ZnTFCf#y5_l`KH44S9ZN3tZ>h(_iF~57W`(l zl#uf>AMFE!%^(+4ysg-h3tmw+HM@o!O=sT2RK>{rg5hMi(jzF%bBJwZehvFGPGFLe zFm-pBPc?v;ByX&I#dZ75`o-N+)U#?w&pSrTx}lr!u=p)OV*O9V!H=SXDq)aMEu`b^ z)TXaucyDThip_;(MjbSf)_e^RsOgRv;X%c-6(LI>_P)`+vOEpOg79Kw7aE$vn#C;m zQ=;Haj}Zrpz>Zv$ARkxQ&%_K}ZxbY$TG>v@sF!7`x)v8~loVU>xLs~o%2~UBefoQo z*~4Rk#9eOKk_7mtn|951PWZNGH8|v8&r^jrEncBV9xsv*0v0dGQBg5)R$4ntPFPcW zMqSEBU0Qhpd(o$&%FNNLqR|umy&b8dQTbBIZiF9ZVP6{k-8$Q*fQ=LQ@JNsar2F_t zRmrY;KKi=^8z0MI{Z%IHGS+IFDvB`H`VxTXF6_Hakrq{5L4w*zsQUjZs}Vm$!Y_OJGkZV zLg+?WYX}zJ2ZrlW47iev7#-A$Kq{`Unj1^ovbhQirUa0?TpqEk&g8OEKz)i^!@b6163?ffH z!>KW}Og-UBpY5v3T}9MGzbN*6k^rZF3CgRN02@fSF}|3bN*Vfe4s~`L`}E}tUj3tw zM|^I*qfcDx95$(eg8txCb3H}TDq_f!tA8ygPZJ=c69{^L9B z3H3S*X|zpZq!^0ZIG?{vp>5sIKpDa8-a<2XL#_N`g0)_W_Jaqc=Z3L%y0137djH)^ z1Ju=6BJT5)eTmOcPV1vKqQl7~+|VZ{H>m}BvlO1zR1tS`Jqk^IVm}ygy8Q-tx3V$% z1iXJ7swkTeOpBGqJBQ>~S(060{5-qKu7laG%OATVh zHaAPgfvcbH+0wx;*WO#I2z&3aFeZkeWo~S!p^5sotD&(fSh9G)4^-qA?qCF~jIbC1 zWe2*}sHrD|S6buAIrr~nV+Z4+lV0d2Y+u$*0YiSMH#^B5y%N>-tnm&5#T2KATI z3;U<@f=Aog?{M+{A7ftu7FF{#4oEAFbT13Ligb6wE)626bR$T2m-Lb=B`FK2sHD=} zp~xaB(jg_?2>*-k`+L9t_kMoQ^F7a*nLBfL@4Yi~=G2^XFEWBx^eW4RB~6q}S}ZWf z)34KAoQF>0XoghQ4IU@D+-Q>=Ruivh>da}~P$J4hA@Rf+MlN!o4*L;fqzGgs+-M)B zK!uz&v-MxeYmhblT?oNDJ}>y$A&_!{;ck)f#;9G%Gxv@|iQ`Zyznb{;l-wVhFiqsH zZVJVx7iorU-o<7?mlNHH!YnE;K}>D*(-$e7oi-f0I~S@gUTMmYwVc==_2(S4A`}$} z5Q=K##z&*)$}ic6VW8o1PYl)jAJ0nbTU4v#C}WkDR0|9h6aG5by@|`uD9XHW@e4bD zeIxed5(ATRJBmWqln;S%CNL6O@d;+Qm@r3B&E~_V8@4LI*?^NP3DpEedTW9b2G(Ih zn;{Ahalmn!?N6R9>2SIC+P52iPEtRUu+QSXQzopD;ZLqMpP=_l=Se~_#70ceTJdg= zUhb8C1c5%ev-cH!a{n8I1*Y2<0+4tzX?Cv%}rUk2~XxpVP{bqBZXSL zrFQOq;rynGK|L@(J|~4KuMpL<3R&9~gZl*}096Wm$*4aj4 z-Y&}ES4~L(2AAQ?CN4g?|QNAu7CpGJK8A zKeni1^|r-OHC3SHPE6Z#k-MeqOfwtur5-6Ta}mer7f2FTyy;%#@XXxL^9 zs5cjqvPs|r^2b{1%?+6b*^?h)yJp{Vi7}Z2;*q$Y0M-3`XOZVux%zf7JsO4v8jfAs2YIQJjpA*~Aj zaG+{s!orbB%?n$>v2YvXX?4e|3ev%9qh96~+n`pV2+-kZqgE*+5AcN>4G|=hhL90p z(nu4uMn_=WI>t7OsK0^nGjjgemg1t>k8b{0Xav8dY-`@zq+q$9hI+?80f3%d-6M6zfM!N zp-}nTN)%5>=?caOJ|KF99CTFOrR3~V{~L~gFL5MdU%bVJSXTvl~0hKZE&6vPEaD*jQ!OKLz5PM<178u_bYF` zC>h%PT(Mru$`%V#R!>fUFEaM>;45s+qe-e0CkjVGuCqQc+_u9 zFZ0yn&f~?k>QB^6N+sv}#`?6drylj}IsIeJMS88Kr_FbMBzX|Bv*(1}fR*H@1fY3| zSu+<%Q=mZc(kBIYgMhlumoGO<*Q0n@NZOU2N$}Ez zo27o_SihmQVBaEFpkljJ+LGM&ph*RkC{1v6^My>H@zQW!2BqYk$Juw{r9x50*&OlY z?(9lr;1vE!RxTxg&gov$x#I65&g(lWg==ez1R5laq=JV_XLd!LiL}fCd4XLnm=qRQ zOtLU?X9rQKCe3i8g4*gt!D;`KdiKPLKw*TF}IR#WSivV zrH@0pb+fhTFk^)F-3rl0-(W!=%n^a5d=y|q$AzfX^BBQggP^K^Ch-4TKFLQB_PRJ1 z4?N$q0qem1JsViaK!;MwfDixadke-CbPtH0T}YJ<6K4FPbb@7VDhyR>^PbIy#7z)? z0%$Aj9)*#vi1wS?HAE&a;KqS72)z=5?mAp+?C2CWr_6#B@6nbW;&=rlb839 zMLw*6{i|G90VU@S7=~rNiCppk7>SgpCTiEYE-)YHSKxhs@c`lzc0V9o`IWFAwq6Ta zfNYh({PvH8Q;JnZ%PHTW-}v0n;)c}Zn^2P%N8_^FS$I;DQziM{c3Y+@pZymF-Db)d z5ZT8YDxlRSp-*T2m%{;Lvjrc5ZdAaQM`627IzeH(TaSt8(nGpsZBX4M?^K=yd=u1N z{8kb}SCUMJX@A;a5iA}vaq=40**(-RW4-?{icL7kP0C`iWbB@BkYmn-kKGm?48dQO z^yTCYETa3oq=5i&OUZ;j6~oUfThU7q!%79a+|MgO#iEBti%sJ4zMt_X2}k&5w3NG- zVvLx?%jlv>vSC55#$EgEYh@*#vF4kDMUiCW^Prl~^t`kWUZNhxq^uCZvz|ljuPZRr z?%M7g&q(-J`a1|EFJUqAQNT4hcYmGGJXn7p^AH)_%G2mg7Ch5eQ1$+Z?a>5ym5FkM zL$~`KT_dA_`*lX7 zF!7PuU#O05k6K2(PK*Tv0X=M}eOSSNI2*vz0t2nSdW_fI-LzW=L{VutRQExw8dvgL zT?}Taj}>V+Nj$RGQqw^$?Je*xVW!_HCh?|TEagidl&J*K65LmxWgNGOt`~t>C;Ven z_I>Dd^RN8+TRh40yu}KUH=gw+HpQQwUjBt*Caly%+n=5a-U$EKV2I zz{{E3VI1vv9NAF+Jp4O5=?3X^;QJ7XIqsg1xh{4tjyL`Hti@_sR|`4=0&aE*j-|dU zGTg4qyJqw8hnh^1V`?P3%vB`2Lb0t0k!%qA*nCva6G-2pRE#`o*PS&ucz#-Ab6BMv zKu{m3J%1spFFu7sAh}y_xo6`(fgba1fYHBBNhyZ^BMV%g<6H-@_}p?6dC;f_C&vPQ z({ljnqviL4`>AW8gp0?ud7lbX-g#o{#s3SE zyHgi9H>z<`3+}HuZaNVZtBUQ;%lsgsPcX%7o@9kq2K2RTnY0NH&sg=xrw^DZogUb^aECU0|?| zqU)0af0T^BVmuATe02Ur?nX7HtV5MJeZG+FxABmpBTKR`KV_&ew+1%Iz65#FVQ!5V zlYQaMPrX4$$d+Hgf;DCOUPab_NDynPObe9XG>%iv-A=2F#p)K ze($&S^y*-Vd~Uf%o3s5w8DD{jNS5Ykko8v4GHE1RT6p<;%n63pkK;~1cQ|kG9+K6C ziFR9jfl`PX%yK+!691J~ zQRMDl703n(mX~@Yxoq!SlHHV`>wJkKi%U`Fg40zf(j|tP z($E7F&!-D0dA}i6km*K?p$Zhx45M|C2lti#TC^pA@Ci|Z6(Us^`F7C!y)wtqzch@H z3zP$tafWPT;1bhHhapO}7PG_|qPsD=OP?q)?vZ1p`;$R^f>5S65e#a+`b$2>pq}Ok z7QhD`Ev;>Ei)URmi@*LE0<23Ypx z3VsreAtQ$BX5XmkTr6pfu6wj1pPB+Y+Y?gXMZL?mPmGsVq`nvi=juay!Ie8XVw=P6 zH}jUE_J)Nhb3{s{5S(rd;NVD86B?c)-d$bve*rK?+%1*7CY$C9MX1Gp;I_S__T^f; zPeoKrdP>4SV1N=%t8IxcM}URd92I-tDMuji@nW<;9&wUg9tj3^&7Y}8kT4(=Pcjch z6HXjXskiH+!@TICMvOCEFQ|ScjlgEu)zmiNtL(|;nBtOa|q^) z@6+>I?QNg@wV(`*!n5=HOMH-n(KAtcbtzd=0mvFO4tao7g8TKefu%kx!xF0iZ8@&_ z&)TwonCfe+<{-z#$hTNbUUpm2*!XI}mnU_q=v!nn5Y{;UI{J1`c#^x_pniXj2REWl zo&Zq?Us;bWTlJK3CwL;XvR*^y&SE;REMMS zB~Ftsf{{RQw5v@BlK=XBp!D`0!Mf>H)eDJ>K74qf?)==R{);McXseZKXbJ}oJc|-y zubYp8U00I3N!|w*{!vu#CaDhmvk>1svRnhOzCS3yiEDd9r5*&p$e`5VvD`|-9<&k4 z>7MnD?vnJz#9yq%UXK5$9JVeTDEIXI0)`}c5D+q`r96e$@e=k?dUuR44J~F zqazrDD;M@D`ncc?vtc|lygv~#rA11&I~H@S3Yr1PNF#Xn@$oB zC3hCO%-KzlY70tr`U^^O>?WHkzY7NW1*J@O6VWD0!YQ%cJ)~+=bi~&y>aBxjiT|raqZEKI}emhC>58wSQSke9o6-_9Ep_J9Oy>a=` zjV@YCO4_9=Gl?8azYOJPX0>|HcOx2sBCg^GgKt5+iV5Xtk~gVu-mfxefWs=x2y7{R z)@2I!Jbq^|jL#9Sj1;8PLE9<93dz8T>k2?HHgXOBhz_M);#!{}n>}L=l(=yPyztm| z#bHXS2xW6mLI)l zEk4XTmaLn92=#vYbR^QYQiy42YO0uM$ct!*>8Iw66CIsuu%3V5 zF8V~Lxr8U4+CSsBW&kJ$zd>m#HCal_2?Z$hpeAL%N_|k1+ou=Geux7v#DSFqb84>R z7AJaxZ5fDa3oA1Wc=9{<^DAnx*o_*w`|EbxDyqy-(p$=Ht|qbDJ~7y{+Hh9+V|4fg zh3JG5rDDt}xdO8EP`$Cb{F{4-$DOVZT7C=yVUvl&sCPAb|HCus{&N~xJSJ4axej0@FyCi zprtlCo)zu0a`F0XP$lE+)wPAASP3>1fI`_y>!Jmip=+6mY>3q%+Xw4@CZhk%49a&z zS!S4BF_QN&v?x?QL;XLf1RxYUFEL&0QhcGbL*Sxv*&7$X#_lYJ7W#n)bU#3oI>hC`^=nVyFHF!PG)X(b)iG3=~iY9OQ*SCBZ63x?-DT<&5H3{ zct>uC)-q$}b|19xoG}DWI?MWAA@zc{Egsy?=E% zY0W_>U2`52cAA`*Hzl{oqyDsgE^Z`)_rwQIW0ch$(dMkVK@iTJz_@6^XC6YnAAuPw z+a97HgKAS;cTt?dkbCZXBbU$(FaE(YiO-6}C)PodU^BWsd`Z3~a*l#SmON4~CAc~H z6d9UOPSJ+dk+qKWW0EQUV^zNL17l5r#?#Sj+$f^`+QU!M|Eh{JaejMs^pu_>JQgW< zM;9FrkSaFtr&*+6aGYRnOP=W;N!4Ocn5mg>b?=B-;w2>w4_FMRTMecex~uEPK^4~( zx=1}`Mkw0NRJ;d?p$BG6-i8;+F5O!XnGijv%BHMoAV={B25u`N@KfpnW)fP=>gqse;(htbGc<3!cdZzIu2<_u+2fP5k{ zYP?zSgL+JX4ga#~Tb1IABR?y6Ja#H{`*EE5Dd))ZL~-v1zHc;~l7jsg%dd0n;{`yx zLDrA(lz+nPBIxBo@WBGIE-sP&X)w3q~YqClO5=po(7zZMh2~g{!%(5qs$n@ zk5!$-hif1FozBQ?cu?Y|etR@8{atf@nDHyBz!V6;8o&<9unroiPyh;3%uldolxRg^ zfhe3V8zQEVZSi_&L1@#fV@hqLOBwqBu4R0J_~Dh)rK{BRcE-n?A7OxcyNjzNGL!$K zo1W6X@x|2f!2dwu9HP4Bmp|z_79XPqe|r?z&B{TejD~UVG#B|UH7mpoH|x{C+|CD@ z>!zZNm}gFEc?x!SF_^tUCq6#C`X3cvY-T5x#juX|-CkJilU}u?l{IE%Ha%rEptUn5 zVs7!LJEhT9m%bdaUs^2FyvID$C87ZWh3ED4VmR{i$AUpE4s^7qh>_l22xhz_L3H!< zx0-lfW=p9W1}cG>0nTM_99gBbp@c??}M!WxV3^{o(|Oi z^BFCi3&%g686Cm-PTVV#vsOCpuyJ%PmW^)}2`WI$znkbB)Hlf`nN=Nzn(7_ih}%_w zosub?6NH`EFLoA9e<-UKBu;y`%P>7!!sHDStNkX1=dHlN^%yTC+}hz8gCuQVTjhsD zF~g4!dfO_6!or`Wdp`@e-f$E3;kO8!8@S?y5`u1(mQ~09;(dJvze%_d!grk#Rzmpt z8vz0CG=xvfUM8q$d4qlVbR|y%bT2r(T5|ScMG$CXy?{i0;$f)RPb`QxGR;uBXR-Wq zib*+W2Lup-!sO%lN0uj%fxuUMc27SX&NF8W?j)*C?r3Qr{GF^$Y;PH~y#K7qORM}6 zMH`c1!w%0%hS*gF~aH_ak4+=;12E4A{Xj&qH-mAT%_Vp?9$sI*y~L6R%~<^ewo!*q#1 zUC9G4L+!3n&HTM2hMU8IwwAhoEg)c}tT@|2V*tL80?{MiefonB8Y3vk^SB{7rIsUd z1(+%*!Q|93GUC?83uLDia}m3hVQ>`Cma@k#R&f_fK#Q8yfiEyR@PT*Vf5=Gj`SwU1 z));uNn0@ES@#&d3v5hbmo&bGlcqSqQWCPOH+(OuGc3WZhoAYBW^U`9fs4LGc+i`%j zmx{{k-iW+hOFPBK?g+p(X|R@FP)f~6l${$?Vd-RC0x_xW?PiBs82w6h|J<$1mtFGH`&9FVH5E5k|}JlZXSi~{aH zQcPG}-0Kq!5)~m{T9m(i3T9|b;rI?Ev>DfWW?D(!4vP<_Ss z5o*&{9CG$|+LO!yUQ+PH_hX2|=CI|xP(%s`4Bqa-4ug}uPi5axc|&O*z_$4GP!U%h zR~Tx4S&X8fMS56-q-eHlqhU13-JJY0CVyrxocPl%&8~xSIlKJI-OnxB%zybVPhwYy zPDXgYb(WkS;HXVlVJdI2_d#|-t8m$j``(6f+R9#i_T$7zUBg!F7Z1(L5Ft{v{*`NrpE-23vlBwPU>iR=`nTELoZz;4^$S~fv;&bAgVb=FRU z17`dU1Ttkz6{4+IrNS8uC#%*LBzj<^2X1VNCbo9Ui6NM6t3xq_vj%!blvn5bZehQ* zbp1Pv^uXBig1bn>Q03^(>78y{TDjTV0cr07C7HM%OLVWod`7Y@=Y6hfjrxgrAmhAr zWrP%kyKwibz#oUv!%v_!=VR-Z^H0DcT(iot-7lR`cERA9Z7l;{zLvP~xszx*vNN>} zvVvWGK{mnGEPMnc`pcDdy!#>q@06^2cL*Y0!Lxq8|M2=u#{2v1<_>3v<%flD!&04#2dbA2hr28p zgFZ>BlRnzo2Y)9k$sc$ZN!;OWvzD1JF&H+@F``m%8Q^p-5U~(Ynz+O9SMQFgvXXUZ zl;GOyxYBIvkV}(+6aqK%^`U4^7)*g1OjL&yT+(%8G2kNW*E8F$3I+E*>%&%t;VHvj zngOEomR?G$st4P%=*ocB^QVfh-7W77SArSb2A90)Tv)mh)PbA!hOk(g5TLLf zfZjp_76V-q+vlp9(sLrzGu#t}Jb8d;Z_Q0`U>iiNCeAQBpw)TOEH(h+h__7}{Touu zuICRX&630V^9p`^2k?eyiky-6E_-ujc1%b4y$M&Teta|M8Q%K81??OS*ORS!=Ztvg zWd2z>fa<;s#8V2e)nky>{vQsJrJ^eC)ysBgi|Cp;Hb0gX_;zn_46_kvF)-191ssesMH)_=9^6zuF%%u@9Q0g0JCAASRyOFY_Lpo`9KH=UMwpGn%bcw{yqIQ!= z)eaw6_U2$6HW*Ba9-xfvg^@#=GuT6I3>&9IBwr4u;CH!a$}f=}J7u=-i%cqh5gv1_ zeQ(JIIbVMaUexO&xtD{#x5T`&JkUEF@Wo=sv?e=B$}bGOs)Jr^Mjq7ifaPR9^W?Mt zIF|DvNF`*qJ}u{N!_>N9C3niZlQ*1U;;AFPUo`8@lm-hUUzcG5 z4#h+*NUNXN{|d&a5|h4PMtSY;eeDSTk01S1DxA!ePiaXXo>p?2^S`;^$HuUh=`yVi zl=gr1+4~^v4hoFees=G!i-r}>B`k68s(N;!Nm93#q)Bkk8cZ^Wr_LbMJWh=wmoxK}Xw_Ah|lZ1^ct&UwH zZyLla1zh5EYOv7d_}EA1QYre!3-O{^E5 zneKWsOqY9ZBv66mN>ZqE@*WwqROgfW#f*#xKgBmZF@ark39KAjj{0c5i3-lQfSdXv zB^aTHJi;yk0#F<~x5sEHiZ4C-GJvoX0=S(!w9`$EBQuA_JW#JTq@#R-VMP%A(^CM=S&2OQR|L63yl zo_=&xoN%0sPD9vD;!i%AjJ}bCXnnyjs63}ZYy;Ziz^fwjNe!QjJ|D9XUa+Ts?$}A8 zE4%cP_jzAw=1YtbtK(=m~e=PQpp^g_}M6{M;x)) z944drCZn~oy(-B#b%og;Yc0rHfr82s9`e#2p-_{lDK=X0EGek{5vrPV6WZKu3zgRW z-zABB+>R(sy!}p z>A(jFn(znxb$~kh`Z_@zmP=wPlAO{WV^xQot>H}JW>jB6>!8yUQkp%G2StU|>FJtA z-4`(6Lf-^5(}{~Ojjk_ZL>Z_N7&y@otBEN_%l`3-DY_((m4*^YPx7c?Igb>Wy0pXuypT(Nq!> z&$w(G4nW|3KA|HbHV|W}UqvAcB{XipLhjuZ~YsoC>XNiCrJjsm7~UHgw(zveLwWu#=^1Ttw$_!(N~k=#cW_*AN+ z)*F<}+cF)j)Q5$NC`Uv}{tqE|7!V6F4k73#S!}HIG?&)<9}LvdI78;<z`NA5x9 z@xTtNfH>soZ|fHz{Vtr}`P^FMJo)jK(Ln1v@f#vg0hiQY8KDCYkoL5-8KJ43_V4X* z-@nuEc*kfn_n_&)r`lT#DVEl_#J-haewWm8qnnj3#)GZqOymMC89AM|7>e2XZbU(0 zPPhpsna>m=2HRP=Z05^vRJlaIcqq!=m+`Cviz~gIgGwJN;F_BA)-2pCBdMcNkYkD0 z6+s}TZe%I{+0>h+wCz;{!=kCV?oTl&^mzJ_?oZ!9-Jd&C`yv((e&Pf^a0<#4#vO4J z&9xV+wR}X}vuZDf-MaP=Qc>Tlv0AihC}dQNOB7%Afs~)RuI zoK8ZL?42&nAxTUdy-3Chi|i7>T0SJO&G9Glh>BCyp31mRN)G zzVJ_uQ#_*6bT8GggStHW_(t^JV*|<(Ylkvdx-08MdWwQ^B`t=1OOt9cxw9_%-DPmO zwkCQ)E7^k@Qh&2$(HLcmY@<$dQ4|X;x;Zh8WAzh@+Z*$FLrCBER>kLiXrF1{Z4OV& zsD_YsK*X z!y8jx{-VFIZ;Phc41c}#seg>$v|;Or&@%Y&s+`6jYoMr;=gf-c@%$*^caw0Ma~z2d zP+%txhk&joED@=PUIa*wsu)3tMXi1aWO*YTDKFwe5m*Y>;P3aGBXabnty^rrAS`Nf z0)=mL7E8aFQc?y1FJmWar*$Q|>CY7+u-P7}iqw)-&;e(9b~t0da(?2m_3f2=ieG1o zx9Ykg+iS=9zS0a7TH!2xz4}IK0V6!i3$ljibzRs`6`<@@08gUbE7Y}nAUCvQzzODf7*wFpEf7~|n7$vdw z+tZH^x3B1o3@5_7$zb#(%W2%De4gbaF&AQ!`Yl$%T;XNt~XU z4$m^>#JR9nXVQ0Gnaf+J$+qf|0bp7;wz5Vdb^ES_Q(k7m!4~iGW-e9!G~85?%Q#Lk zUf(^Ij-|Y@HVlp%xJW@7ZM2yzEF2R|bX@`bs5x35B{CLEV|Q!9I@rNPsYpR}T{JJ? zX@dfM(DxkvxA8FF+xTBj5pmF~7k|P{HLq&38aJzKvzmCqTSuvm^&LM|R-~Q1`Ic5j z=YVHKpTSebjEhO4o2emp+u47_@e-V|sbdYnGddspS(=fX7ICUutlev0Dy2|g5NcE_ z$k%UW$Ko+3=Y(Z+t|5723~m{aq`vZLXaAgGI;zIy+kv6hA0!5US<3)+p1_2&r9`HP z1nt%l!*k&f-(Ss2eFynhwui^nX8hasG03Kp+myd@A7~%=Kaxv6uI}Dh7g^uLOr7U| zXT5;fJH15J0d9lW6-BzC(%C<|jVd)h>OEb$uiR}%Be#7R`N6qpfTZNRX#It|1m1@X z(m1KJev41Qva^8NzeFvmN8gSUU_Ih-N zMb%fBsG$u#;V-v$^MCkDLfjH<2VlRf|7BQXYpz;GeG$FeT=adVSwU~CS%0zkx6zGk zcJjPz_VvHaFrCNx*{Ze8U~)k5V>4H0E4WKeaQ1YZEm`}a)RU5!h(2vLR{yxeJDTjh zY?=lv$>r-sFRWOL15;F!tn;`fl6fUzzN6b&m#S&1t-&VT1#!OE>Y6r8n0jeFgL=7f zWs`;%FYT#EaLcTx%$iT@5gFpGUxf7VXujC2V{}ZbGn&c1cny3hM-Q*ecHNBJr0o7$ zcpthf=ktJ1hw>m>t7+XG3M>mMOtSQc5qXwW=FO-3^aIx9J_p*un4Ue3Sjux^f(ZDW zo0{s+`}+juTA$q_@3P;nuk9vZSf*2hL^^O4Jfd!l$l|0Xr79dx{wE$ z-@aF(F03v;U?@J{dY4oP#kkT=FLE+w4|uLY+B_?w$>r}->B#@^PfZg2MaRkN$^mAt z9Q|@^FTc=mh-aHI7+$418Sbuq@OL_kP~7{a{XZw;ZO<`RWIcm)BDTbl_Ngof0fJl# zN`T;3kcIK4sdJ%EHoK3v7CzzBSdw&X0lPnX=vV4cH-8}Fsa!RVpAI^6Ws&~u%_!7M z&=U?DhZY%@K)EYAhYu-RO()|XEm4(6^#QS}x0PWFmcafF%?gzwp^nvB8gq^l!($_& zgEYyx@)`S}dJ9)ws8&YShwi||BM1bkpT&n~+WA=gt50qpIO)*!qxXitkP{8C(^pK5Ei!e+){7z5qVky0EbhB)UwpTfM;KEH zU3ySh@|L=o1zToM+An%*oU90*)$M&GdU4<9Tv`3j#n(sbccxp&QV9(oy-mCS!$KZ& zcZC2a`xXTuB#Z#({-Q1c4z~X12WE5X>@1I-tIlN#WQORONN19Ur8&xriWO71@MUPW z67c7QIMfdEKi~>VryvYo-4ZTvS(zEBLTcAK&2msm)=8hXruJa$CTjFx*ieV!ozo{2 zvfonNDPp%%Ss=HUJdw7)Z{%(;F&x4JCbC8{?A(sK(JbS1!v*GY9flESgMswGKnmWD zU26TA`fhdVwWW&tImjWHgzFH^IW|kI|kAE#6l0& z)a>5!x85=4TN*Vis)%)bm!xl1TG9W+5GP6YL7nqc%2JP+h$$z&Y!8E3wwC?Xj?kF$ z9Hr!|CvAJpm3XX6+qQ-GjUP`?KbEjcd`BX-SwMC4baQy1)Hbn1<5ykwevePaS&xsV z9*UjhToDUSM*vw9(T8@4=r{DTTexN{t=$Hqn{ZPJ;!}v@b#KMDc_hQEqPp1;FhhCE zl%Og;m}N>gyRl`8cxsaTN&9S|L$U@2yA=hOIb67HstBP`t0a8tKTzIh`nZ=P_+Dk- ztb-%k*;x2Rz$rzfhGtIParL9=k_s44S*}J<Y^5?nQp%<~vf5VqA&z}LwqyTRY9t=V z%$NLYqZH`|j~5@H zrG6Lmp@#Xm3*nWo;;3&NpGRnZy{wk8(d;fG{lLP=MZUKaSDbcgs1QR#h>o$@D{hSY z)Z`(GKVF2y{liDS!wm!9r$SX+F@#us88W9Zk=)l-dW5$qHoAzaz)cBd08!3>2PRv z1BLp^6kzd;z0LreC%2Uq-Lji5hfL${WbI*H?V+!e@x+Pz^qIx<`f-E*qVDt=02EFL zc(;1_;qa?>9QQ`j4cP6h7{=M{IL;w*pv5K*Ie|$P0y^4lA%d*FSPDUa1!5!Ez1723 zX4eM?KQr}-E(!%~Z7#~q@7$C26Xt&?KrpLxuC6_3a8}<{_X2hjF$M5DoX;(k@oFWm zqLHHf&jkof?a#fmMVsU1ySK5I8|KTR+D&I~1|xdUme!b7LPS-lZhx}gpMMdzR(MwH zDE;JR7EabT({d&Oxgq>u*Y6jcZ@-~PpYUrDe(Iur|E-f0FM^CXDu|4IUy*@L`MxSk zMOZSEh4E{xZC9eeY6fD?#l{RFKc$nnzCwe@mVO5GMScx~`<`mq&GwBBQ)l3knSu8S zs?<&{+0!Rg0$q$~nO`9NKY``vhj z^l1JAUP)oat2nNR5_B@xS8Il9K@88r`I2wxixc2V4=MvHgk@E841cXjDa~N+xZ9O6 zk5m>Sv5|VjaNa$0-jOP(PGL%hRCEy?tnpcN%)NWf-?pb_B*RNM^@BX+?Mu6Soqhv8 zJ0G`h44zT}4E0D%#eNzVNET+;OIjA-9R@d0nQx!&tW24O+!gQ<&?yb{(VlDTFx0jS z7oiQ`oSZ{A8ZR2LJsu}bzxdWa_et>q^FybL;(;Eg6`3akys>m2*V4L>POAz+-}zB5 zBkk(H)90?S4U#_X?ue(0U2`i=-l)T{$LGrqI+w8u=P_nyvbc5nOt2%fVSuR8Lyf51 zZpL?5xlKYMv#DV!`FZfgY-aLvJ=>pBRih@~?=I_OYOzJ6Yl(~r$g9Q)w_A-pEfEaw z{6GeMD(}aVdJwjUTnO8PiDSajTT`^U7j#SR}n%j8*vw+oCWtI0t{Eu$qebA@8 zYgI|dWKZcfsuK+`Zuuaq-51cjaix%;HFMY7U3en~uceXA`R5fKu9}k#Ok-&} zw-VohqO?{#k8C%XJR{m`BiOti3Z|exEK{UElHQ%o=Erv3J7_8y<$O&icK~=!F5LDE z3wdpOgB%;&R4)z=jRg$o6Cv1gu>7#UGFDwsv@)rE6&YaM#vT?5v%@C)NGHdoM9SRE zP2QQxOh&K(O^n9gy`X24lRP9#W|3|l!)KGcO5lvfW3EVIDOUqUciaU>i+q1VurSzE z@{wK+d3|5ob!^O3+VFgK7TK_T_SzjlsP{`^fvoZ2!^4=^@ssJ~1jAHcAXwUMK+*5X z6hYDDqxcrkFrV$iWR~XKX6f_h4UpV)I{t!049iS_0w{Xtq5)fu;jubt51kyaLqf2y z;XQfWQS(#Evyw~^T9(UV@{kihWhtjUM9awAxX z%aAO&do5FZ(SXOj^9Sk{HQz(*-8*WeM&Z7f(Ik3_H`(#wSXe;TPD^5y!UXiY_PXEM z6{R;thw}Wp3=~p-#d2(o?mOJj@Y)`Ar+&atyJOg8nN@M&c3PG@@WB6YItq2P?%M%A zUqAg~DJwm<_d#%OZ&GkBtnXoG(U2*#>)MUPUK8c*w1VR2Eip4&Yzp^|;eIasgjH|K zN`@ScP5 zH}U2AMD#-W{%N?q;`17H&>P9^AH+1)B`%dJ_bb1FB!+68Sl!Ihfs6VSfh1I}m>b$h z-!>U9^p|o*8;lcRaR^i@z!EpL(I5$=ATYxK>JAZ6yuL=8>PdVyN8zv^*Z7407{QXp z7X2)ov8+Lwypx#;fgS3as7yK$C@ClD#Y9T3@uMawFYWbO01yLZ%cpbQ zi^SjPs`vzZ7@zH_a2P(YAnKJ#fmQJU6kUYBASI$0cG6d^{BwJnlwe_%T&_`utdkyC zo*JY)Mv}Op#&mKFCQ!M?W2H0}&FV78+Uu3)If8htLTZe{-5d_bejS=3c_EVo!y-rF z!vD#V>4XHI0IQF#-KH?|y^ca*WclbTb4ekOWSZO;(eq+!rF3PUG4qEAnlz3`na7eV zA;xrkz@7L4HiSOE7^zae1&o@7&o6n4R;EZA$eJrI+%G#cg~=9(J)~89()lHt^{KE0 z&>Nb10~D!iBE{EeUSA0E$*4KdtVzcjvHEQ{VrTvESp?7>R-ikq z*_T3U_%Dug?YH?vfuWmU?Iy?a5{!_AQDA{J@R5S7(O>Zk$mFLSBS?kmSv?S>z(w9E zN(5tX+TjBM zDcJBVQi#1g>1#51Py~Rstd7F+Kj>HmU~(_mi>-$=gLF0gng}IDBJJgfc!r;M)5M z=I_OjN(a=E$@?@jYT>tt8>`|^6p^*hKPPV=kbX?wz9xVm1=f7TPVxWwis%AW{IVCo zLl4y~63j2h%_;!&krbehq%-@#U~>MH8W|IITLOB{6aRnhxv7RFzLxVgE0+d-nW6eW zkH{=zMKFJ#Ucu#h9AEVOU#Difm@mRK=RZ$qOGSa@Wgp? zSuW`ee;R3dA@Ca9-}91>A^@-nA3=(?0Rh;AU*Hh&1(+itc|$SQ06_$6z`hG8VkbZX z_+Q>IAHY?DdFD&cDAK88Qikhc9Q!{HV@C|><-vb0DE%?xALL>_WE@z=_G z5}^%{zla*d|8*3#Bp3+hk2w&e?fY9k@+T)2@_)@tB&n0=(OkIm#ldWbhiquyy~j0! z!dkPHz87ik)SsnSc57yRNiMxF+Y3K`em$S?*rsRo<46t7>3fygppC`tdY_^1i7l31 zPeKEScWooL_FE&js&I}znV&m4TsPgk7+TrQqbtv&70zQ4&Z85~V-U`x5q2hdL9IW1 zgmoT+bClX~^y%IFj&RhcD)ar_#~*FFxsH3f{JBkP_jhY#Ru-96x=_DAdI1Ufe@<}rJZPTt#3Xnl2TMB_^P@iW)V^1*_! z=Ditf*Dc3;?-$>7y|Zy}EuDNc{p2H6P1d`v4-Iv-ULw@vKUWr}zGu&FeZ>56zPr1s zd2hYb8=Blnc~N4u!SI4@D4;S?+(UE6WNX+O+V^~~Rqd)m@rp6T1o zW6=ti#8ja8;F9?N2zv{tIGSx?J4Q%?g%&ETcQOhO(v6Aw`*?rj+CK%Jl&A_~dsBKI)_Gq0AZJLw|YTtA@@j2I(H~dtyg$9&c{se?NY_s=mjX2 zK@o16cPPQp7L$w-IT#Z-42y^k$T!w)7~WV!YHk^ECud%ha`xDB`r`9^_P6mks%E#> z^Vx-5SLcB@LxeTxq`VM3ANPDKepVAceiJ@+6FzPezRxDhBrNFaQy01CKXcEwVsSpI zV^}#4a1pYM@rE!Mt8jKBwW57-jBsBhsGr_R%`!7~Bfz-|`^=j~RG-jm**4;WV*Cuu zunIj(&61B=u$1Nheu#U-R?Kf3WvO7$-p7jww2iHZ3Y$GjKC`1QYPU~2SiNcBG|_W7 zb1*{5ld6pGj(@n+M)h*)xMUe{smc0z|m8H^>q3{maorGfqKka zo9KCSS-vHT3+~TGISGh>-6&_8;sSpfFJK4v@I^MFNvUh}%qtsNzwXSw!w{|C+5h1d z;ZAUxfzV}sAyF` zwK@d1r+c$k@93R(Y=f68JlQX;^hP_<)t#$%z(nI8s&{C1!9?5b=>?hU&WS5-fqV4s zzt|m3n=QOM3ig8;Y|AP!+4v+}t;g9Qdal*stx8_tj>Vmq`>M@2X@qU+yD_@;xjW+# zF>7aN88OvFwbxggqlyex6=w4nXxi+%|2payG3(P~HXBQ$=f*ZYM*AJF96+)7IxRP9 zVr!KUTB&qc=NcAH7xgEAg34WX=@q}yG2$~y|LagaZc{y`0}gOG~bDU6ZpH*fK zLN&P)rt6)kO3b%qIT+15hxIrZy%$>cO*iXOqJ}p+5z`l0@(vrFi@Mh}DRK)-fRBF- z$A#fvrc8t>)IKSXw$q{0X`;4d;;C@H4(-Pn-XYKBj1u3!uDbtZHUC%Ef7{f2Slpg2 zGcSy^t5cj~_AVS${MPtDwt^s<-AOU-7{(#bbBTqP zGw)y;!W2L?mg(ly(PR_+o@wY;(OR=O!ozgiv^M;hg%^a#l4Pw3h1?wWx#)J-q{F1T zVi5&5rpP%T{5?0Bu7Zdf%jMmLbt%m*dO>Cg-nR6@b=mmcP7QRe*U=s;Z0%Wr4M6ZNn(WXP5O{Y_j%bjdh}go< zg9nJj!c6YNm(Qo9g84Q8bT~Twq3ws`oEQ$cJU=4WLjWdIZJGUpI`xnzuiEHOB}Be+ zij7iMb#_&t-Dd@k-Ghfzu?3Yzzj}#<2Ba>EwCff5M7pQxP3xD4hR0oXKt{SEl{oNp z;Z|i8x#?*IJL>A}EE1#Dc5jSMMHNY1Zwn67A6QnrE)KQFSKR<(HoH3^DpBSKl)z=G zdpR6aXZE{WkLfO00pjHOr5{hed9m^=FzImS$mcN)?z?XxOLs!*ly5^&_3#A8bQ&8T zQlIufgXo#M6V~+y3sFRW`|TU4+kPlpZcMT(ntH7&-#K-34fjnPrM!Ug4pIFWst)iA zE6^E?kOB;`W_q^gBrYZ1Rhq|Q60fcEu2n{9hT8AN_>OeNb{z@$^*T}iQatPwD8T=`9~AG0b%&|&u<4?-wtNJ9h`lW+Zj-|F?91CP4)8Y z=c-Nz8i;0KYo})@v7-?+s&d-S$x-hWC%8_RfuJrcE>yElhQeZMwq-#{O*1{x+E#`| zqg`;bMqW=fLZ)o6c&d4$x1Udv`K$0!WywWOG-r!cqlvg(7~ zc)9KRry(>gPIMiyRx#MG?-ewhmWGkUrS2wd=p;}uUDVg9cMDdjLP|UGii3eU~Nm2b8Fe&&X6K5k&=7F^(Js`|9>p*-;Y;nC->t8dUhw8 z0E&-J=X~i(ObqtaQD1Q%-Qv@QjkQ@hXIHt-={9mJ%z-wW49fv+X64QpUI*!AWBibM z>tdeECm$a5`f-byQF$tdhO=q@Xe-O)j!v3|jG%E5P>*_#@>%NFH*H+s$lYPh9j1IJ!%RL9$xouVn9;ccy#=hUnHF2{_wM@Ii%T;mP z5E0#ga@ASUQmtlWpmxCQs1VF%!fP2gG>;0eQF%^Ma}$l&S-9qgx{~1Z8@Sp6T!>tG zk8}tGY(ju_9>(BsHExp&`&5fZSq--|L-*xB?C~k#J(7yCoH=T}&A#r2<}oHrpT6H( zfcCGs&9yEaer38aaWXw>->1nL_<(&~Yrgxyt2b#L4XCOUJi87cqYJ&c?&rJ6_O?$u z_E++m>Ek`DLDFf7Qr(7$k!qSJ9Q@&Oui2n@%sH19-$Wh{Gwiyb;Js>xqSR@ zSusI`Q}rwXhrerGY8*xmZKTSatLORb-DATAarfyx4u3i>*_l_$YdMT3XDsjKXg3q# zT%eapc-}rr+0l{}kiAMVvNhf5GnArmbMjiB5kcg3eT5TGNj_%9Fx@sX#(b?hoCERI zwSx3vCl-)B0I;H&lXaomwH0jfm zws)CJ|0i%HsM?!hIYcf=Cg*KLT_`ghkY^Bav%;@a9yWJnD2RZ9RS31@*h|1F!&-9c zq7~3ROLjk#iKpI9_w|P=;#9m`$?7gtyyow#@(PM!K=2GlL;7NzT9($>V##0KfzSfG zvn*phh_V~?==qbkZqlxllVBc)$7o{6Bgj?lSCadkdV!kjN>zASw%_$z^<0{eR=2$- z7jUmqVPcKvyQ(VM_B7>D1|w@SdO!Cszw(!gDti#rk8V{i%{!X6luyr(OB<^*NM~z} zd0`w0xy8PADvI4u5*+9&0K`T}z?ZH{*Yr_?vj9S>40fi|rF0>M^uCS*nzw-vz7-Q( zfp1&a=`06vjX~jg1B-<_JA2$VANfO~3U_Pb&OT(RF6*`GnFN*MX%^o9{B+}}ZA;l+ zWUZ!_u~)HNp`)Tbi7%`GtTmwAL(*1a(uf544I7Y+B)~I%46}T>uxDlpc-s0dtQQ(f zTpqH&^@I%X#mF>mXquN$<_uiReOfmEwlSJb4ReRPnH6)P%ymE>i0FUfI(?WP!HYWm z4!v+Bgk~Xv?A3D-hVwWXfAlnBF1 zV++?!g9ecUZH6JY@8h6dQeW7$^YPoF)#LHql-r)WY7b8HUni+;M``w1Xnr{Tp?f6G z_mfT|*Am|SnSN*78LvH;uj^@xQX0|ZW zCwPqm??Tgq`GyH=r|fQ*W^9{}Nk~{g2Th#+5p;1_)6y#B0@5)FXMjXmes~jksueV} z^jp@=z>d{7^Yc!ld8Fq7{-z?6D%7pOby|QaI0O6A!ZMYVlC%10!4hH~;~l1^DAVAt zm5(#S67!^SgyIXs=_|!yzGwe1op4P_gtl0;DV)-Pn5`qTp*m*PqHbe2HHFavW@ohrh}(TVNbQauyPxUIG;-Y zjkI=%`W@OaO%kr?<#U2MMD0gz=T|+(4|e_jTu40R9wms+?Y8Doco%pRFYqkG*4!KP zDVol45!Bdm!?mwVx94BXY%D0uY?O)2Y`hNQk14@b5Ga zj--361>UmPiaGexBK+I?c>g6a` z;I*f>KM2!HetYz-qmE9m6MttMJts%}wfv)W7X3$QT3MVLN<#zxswgq1efKP-X~r2L zn<-6aGD?e6OtO|TU9v?C@cg8vyi*z1o*{m}-DRiyv4|pp2RR-;a{&w%C|(CL-;H9K z(918>Mf9d3*XCQ&o7SFHhyYfBGneUHmgW}$s;*&HWK#ZaxY_NS`9frD zr4{{|UAjLtTS>-v=vg91^Db>RzD&l_DfW12(ddDvg|j!w;&OJ0;5A}UK<1jB;Sm*h zo4h87o)lzhuzR?<6u(5s8Z}}XS5ljb`bn^N`$_P?c8gkU1ZFZB9tFJ+qqv5@PGrrC z*7va2PM`n0+cG*V;uH5)HWXtT6ByX$iW(3rdeQodazJcdh*6&PuT?6X9m7BBeb~9{ zhv) z3vDaSQK70tU7a2>JUqUEz6Sm4vSYI#ii7l+<9d%hJxr7xB=t5kst z-@mwg_PJF5Ogci;uRj-j&W;S2o73si$bL)k$8kw|7tVgqEjUEN+`PQkCFM@H18s3q zY7=6t5{r$X!`s^6L2iW*`Rg>akl`J$4s7d$Iq2h+;JcI@i!i>yaKe<1jrb9ulW7(k zA^qA(DM*O%Q|2xf=>iSiNUQM=wFUyEaU6b)*x`#xRzp+o+1Wb&5K+yA>;QqawZPn? zpS%-*(eI}yfZt7Re`?*;nLwI*eMb!E8B%^nk*bRyZK_3W!_#ssCXX-kFaH zCnN^6xnE%4#X3y-viIO>xu#$zZB%cRHKQZloWq-a+~y^?)x(E}=~JAS5_BdaLbRi$ zbjDoX8|0wf$e)MibV+o7FE7sM4X5PQ0aohG8#nVs`> zVqEninp)^wZ3x1NZw0#I0A@X*ONnvXVv*+s@Jvz1e38 z839V3AVnSRJuv`F;B1#KlvHKwPRyN(Ds#G5Ol#E7b<>pTC9No9Fq&xA19ru^KvNBB z3GSA&OWL<;YD+`HoYtYC9|SoI4`^@-mu(g5Yxho=6e zZ+}Kv;r2eMG7p5-={pZ&F)6sQ@TJAk?WPnf6#Y*l^7#5RBf9Bkp<;Sb28>y@!b?rt zb_*9Zsxy27A4_|Ge44!OlEZE~u$Nic^|vHxVre?q{vq6avo>{7@0T(C19_%_Yz;UP z!_59&p}|yQekdVkFr7aV%Uf(~v-#R{OOMl5bzoyzQ|Ia|i~A=VcI$^$gQ1#`0Xz%V z?$uiDsUN2-vW2q;#Zf8(c@{6G0|s43k*43-MA;fH{2l?~G#!V@P^1bUD-BnoE&(_q zZW~)>n}+M+>=*0T8f=}+U>;OCtXt#wfKdA!!9;!zKbBNM`#;npYrjYGpK;(FIQUGW zYoiErb^HKWeTQ%T1>Ofp7h=bF?pw!5$n+qpMuKgcaK1ydqnbvGkVVxf-jNJeCCG9| zOwvyFJ*|w7xw*q(mCpGOpf}lPt=kqYovDsE9A>$sT-$3ii5`(Yl7{5A6rs^}AXW)4JeU|YmR5?nn6km? zy1XC3WxS0>p2j|Z<94&O%)Q2W*MZ%vN{?Am!tTI;*CACLn(t_)dSt^MLT zw-;2i8i6fx*H#TnW))~dhX#a+jY}_051NEDy${UG)S$C=bSsOtMcQo#1;CCAx|yYe zYDGUKJhwMDA$}eqTGg2DrRM}Uzk6*x;ppZgtaJJB1F>c|?@8c_o{M!e-pb;?%?=NG zU6-CF91U+E&QCQJYa~bHQwLl7ZQutvLm@T5?>fI-x7&aw+uM}`qZt2m#24GT@{@b_ zG0xrMdTJUvOdZXaXek6SUTedbF2a|Z%-5O(g_dyfn<9o9KQ`Oqy9@^#`V}-$&gI3> zJQ>O#;c%!Ohn(klfDn_WhaeM-`TGVt;rLyeV!=(j3wMmQuj4NUueS_w{NZVX#P%Dv zC*sWVHl`!{l&R1XrB`(#7f05RLScNqF`GZbEvWHCF`mUb->oa5One0e(=`o&kKnZ@MsV zicI4-QTzCQA8DyBtwk=wW69}6!4p5DDUu-rhvCNm1FK4d-x4E-?SyoOky=A*t1yq*=SS&;eET(<0 zrHaMD;<+=N(b>K|eE8ZZKd%4;4h!LsaIL_?5+DKt<;j4LDN>)J_tZ+VAL0k?TsLUf zl2-O+MAELCsZOzWr;D_%zy1?q->jbe7jcjNCEn5IaR`)sY8CCIH>xyN!98Th`xQ$Q zJjSxWH)uC`;U%$vroH%8lfuFydT%zn6>QX9wb%62x#GOs8);MyTw&+^^nlw|QxJ96 zD_u4gX36q>)_Tpf4=cR-7I1tDgLUfjQQm@%@Gycq5lb@U7pD#^y7D8Og=xIMVvD8l zMfyTAGwn;cQl?K46dH{6!c7e|DgR@^?-_EERG*b<=P%hM!> zC`EN@d3k*Bh@W@Q5VcLYd%cA6`HJ*;0-55T;>;<+WL_lE1&7CIrI0&w=>(X-eMPok zJjKBYSo8Q9RB;=n)@ic82PnYllK;X&oM-fBT<%-hqwWmd-@9)ebailjSS}~EPmV)d zThGZL^XOANChq{*mo`vAV|+y@KSARVS_hiIAK)_7nYW<>(qBX5Ag-~OjA`u|?O(AM zup3>r7ZB&>hnqQhsg0TZ1=w z(?%hvuwRkO^usHQ|HZTSo;PIM=#(o=c-1+U2?$r1p5tgKa4>@1E%+B&WY=8we@ky| zO)6nsSh5@Ds38JyL(sh&r)^$}oHoRC`p%2{veXVk;{0;7VCkYD!ihvT@f4DusqXie zIRBGHFa3i>Kh)XZ{u@dovS_v@Cq<7vEIKC2IiGjA5t&Zwz^jQLiFcP<`}V(CHsd31 zo3y?D?~frUxAuQn_Fu}KW#u28&YOA7z&zC2OS8Mnb*gQEXvRYc$0L+h<~{YZdW64% zjgQ@;ZL+iW;cw(4!s{0?a;N>T$Rqi+kJAK+jd*jU7I!PW8zEMY+8t3SyD4%+p!>U! z(Fe>kKU1@N4DCd@sB1wRB@T8qN9aS1*dsEYe{6}M4eLV)!(*krxCoB;U>MQdiGcES z8Gw&UV-#UdBvOf|k{oc!zpd7J?5TjIGv1a*tcd)V&98I7(f^)s_hX^FEplA}f4kBF z(O^2_%!gq@(`h5P{whsI-J>Q zc&5%Bcb#_AN3h3AeGv-+!$X?IomKiuWOv$VsfO3-6=p~|>(U&&;n@cTt zTU$R%Lu!yK0YlPyTLe5d&J#R@4aMe9`qOdM+#)R}1^QEyZ=EA8^S<<_uFAL}aPco7 z`Q}dSXMPyhuRN4b)l0P0VQ@?AYH)(w4eecy)>a{_*By?`WX;Xc zC_BL-Mwu1JMN~O?B5}B#@N>0h))=+R5HhXimp~D4p`AiMm&?i>pqUed*;nN{zn5*@ z06ND89zxG%^GNw0dM?D9rOw2gKc9;?<6nqh4k6;uOY!CtV+K_G5G})PS}Ls-7%uS8 zHA3wYBv#?Q;`Jy6{}y8{2g%F26PTtT&FITODaY)BosnFcaV2Ln#mEwsAdl#DZ{=^h z2r3=qF0Bfsd&JdSNsqXCPrB?=D-7X4N`1`ZQKll6@))s!mU6%Ba{-KfRT|U$G?XOl zl)QM6@aAz`7YZXrgkV|C0X5F!uUsnVad>?-TpCY*TvS5v7DS%nk`s}?@S2>M-QEkz z;-%nRmo2q68Av?YZE;sh*ioVnzt!aQ!f3sjtM|}Q70g!6xQwj;@KkVM*v(*=gLghh z-Yiru@&zjirmw2Tw*tTcFW1EZGBEL@H+%Y1pvgA>8~27+YVb%ea~gXh9)4{v>~A~| z3@WCtTa#urQb^_|A#*ZpUgz(k^g2Wf)R`tBU>SQNiv{* z_O+9t_6T0V|@%ZRN2;!~nMvBaFj>&am$EF<-)J6&&QX`sZ zNEdGokCHJ>_(_*a4r<@C*+wR?G8686kskek41dRZB5VoAw<6zO?MzclFx%JHrb=*c zgV=o@gCo;##Q3PSc`;mGm4Uh<8B0~>1D;k-$nvmioAsJI=@o&`vvgVctAs%@<!Xfd;mt;SkUBRV=|hm3&(_I3CN{=Wjm&%0Hvwhn=@R;tez!KcZy z=m`A1s;(@0X}xl76+Qp}K5w`tbRzf3fjZ)C*oWGuni9j7-}20oVAD!N%X(z-FRbIH z^kE4()@Al3Z5qM4Z+Q+f=M1J!2^72lLGju8lZA8gG>&xw@I=|Ey=1uI#_MgtZC^PY z!Fwo+3aS{aC{O1J;bfw zJjQ92L6lo}hc9u_RDPTvfv^*t_`7flmfA@%HA$YH(xsf`tDe@*ERlLG3S#tIJ~ekt z@G75n8<$>kok={Iv67ClYJ?2``5X{&IH$AYG?|6CcOMJTaoH6@EC`@9LkStfFFIL* zKRC5<_;&g^YAY&?FShD6knf3QMCisr#XZ!@#R|QyC}tGIdezo%_wJ)Tvjge z=79OHgC-O;{I7NT7kEjW=Tu=z z@lDIz<4Tyu8QjX}g?9P;KFlHzqAIy(8OrnJwz7)Qk~>hVD6`{3hv-G^JXuhez_*Hvs7xi|=f-sK9 zpxVv7uiE0+A_>-4@bxh$Gb^jVQDHK-YN94S%!xy1Z@TC)_ro)RyU+u23pXY+ypAD= z4GM4KLG)e51X~-Q=?3~lG-HPa)VIQALN3U8Tb~Y~PYb)fdhZkm--2TQ5bf}IpLclI zlFL~+0KH>fxOV)m)8y(S&B9M)(YW7}m%PG1b7FV>uyEUSAa18~pbnK#td%a2(f;b* zV5}W&Q$no{cxb*tF~EsHL{zJ1o^R}XSL*(-mt2;_Xb;gqH@E#TTxrzCAM z#&-^+s26nsU)LI_Aq-1plH=3eW-+KU3o2XD`#knLQNf zBB|v4=0T!CXY7UaehBH;Wj{}7d6^q~aOnxF>}0{Q!^Y_E+%Ur!7LkCyWb&dODndQk zc_XEqFq7`i=N5-gtq=!eOj#`Iw$7w!a+TR<2$u9*u+e;<0FKkz39F~M zaF5FLLaY0r`yY2!EH|eo-z;8FjLg*X1zK->r~|y6!dw{hN<|g^{2^l^UWC8?>W2!J z0}IXz=fv9MeCHHZ&k;DGV)s@A9Pwp8gF@y2rSV`9J)HK1K;_GzH2L?DUn1I=cdma_ zeZ5w7GmV-3Srm z@w~iyBKS{zA(-yC9|FdNO(rx$QF&0}gv`lVAoQXyvw{Y4>)+zL;8vkS=w*?!f}pwe z%)~CZ#TnxuEaJH&yHyDjGBkIw*x~16h^z;psEg7638Fv#FT3LTVl-4k+oD3RIH1Xx z$AY&w0Q5B@om`)x39Q0up02J0Ow`j_(O1YOUU+(F*!po?rA6(o3&o18wbm<4rt`2hG zJ7UWuh-8H*XWXWw;IW&GCR%JF=?&Qvgo}RO~pX3paH}t-rE7XT@44pBdX=Sr~c2vd~~om67&^D#L8dWO^jc zCOvJ??PbECh8=Ifpb33*R<{XD^3s?Ai{{V@Lrw(h^>;zWg`K=?|2x#lxEykx6IM|P zKrm!r{4Mb{{vmW=ocY@w>T@ake3IwF>2EZRLPD86F+xq=C5N7TbgmJ#L3LAe^}Ws+ zhIZJ(XvKDHlzN*w)*1R^LngrU->*tnb(WH znKB#b{AnmOwDHN$5coVfs=+@wO2al86_Eq^)o23ZfAh55+nb@A{+LPn9jreqyUKWe>!|F|-Ebt88o)I}f&Nl)Ja+dE*~ zwAujRGH)S{Ok#>sF0^-Cc^_!dK{c&ZAH5)on6mH0a`B!a33dG#J3@Tm*0V>C&^n)U z3|q)?LdH5gm+OkEG(DGcn^PS}XfKge9Os2DH{eL+t;_b6nvIabY3vKj02{Q8=3hyU zkk#=NDwJoJc2bH&XMEX+O1ygIF$;An8IHBf>p82ZVZlex9U7qty&%82p=njQGn3pQ zVI1LV-B11-xtyFhLXME^DOmQDHQ{07;DuIi2gib5^VjjDika?v*}G)9AGrKMVKIK~ zEbVt&FEatrA_Pmi@cINnz-QVLI!Zqss}{8-$d9BGum{;*YSG zv%GR-`1VWr`4ORqOsDPhHTFNg&js236c}TQ34)MN1I!bG$Q$4DRnVhmzpKD_N`Az6B>y$V+CsOuL6yRp`p z)8AYiV7H50X11Wb=U6kc`?Ly~TV~CwQ?MJ}A~r0K zmp3%>BtF2<+82^oxI1hod(S!%caxhm4HYMDI7S1#=CP#-pmO2C02JzdoK%(o+-f^O zu4x2Q^{f?l7izCnQMLYjKc{@JlD63L5}2hoKI`3)IhoN%%!)T9qt}tmaoPTX@|3yB zAih-AbFz#ILitUvx*j#Nzk!)ckk*+3N{y^-u?stzN^d>?d1MOhkT2S83AnJa+1zN( zJg*JiXvP*@0_UoeL4v6BkXDo>{OLDJaRy&>=bn|y<#Gn?QT{5l&7bC&gzm_Iz9-m? z7uvE~cE@uR6pqs1OP&AZhYnE!YYK5@ppDX<{#rN6U>ZK;V9=Bnd=Sa2&f4BE!-Vz1(B z8mpbgfi>P-5xDl{#Xnh!+k?4)uhU(VEH9%zH0k?Yf81!Ebb@Ts!|!~$mL;lEF5@Ka z>KO4IIH7%E9*w3ZqNQ`;(|L}NWC z89NytZ!S4Jagx8@JQ4M7b&p9Q&A@DfCyuxq9X@qVNn?m@*HMh)AJ`ro4noT53`g0K z!qxt0B;L4{>HQ@`U`z(AnO1`OB)0F*lSI{7t|w0C{7+P@%b9Xrza@WU;Kax!7f8}? zD&Dsd*V>jDpbcH?ZJxd)%_$ikmb@b}k2C>pK77gtjK!x|^WDw=aQ`e{AQU(qOZ=N{ zRg|lb6;poGEO7eA+l{4{tMS#U_X58xLj?e^1gn|xnC9EvUZ{(w_bs1byI>@6)g3Ln zb2Ps5Tw+vMu(~-7c1bEI-@H4g)Z%7GXR_F&>1Lbko3TA%rdhBv`X<{BNkOZ7x}x*W zhu?4M0G&-?{BdjXm&sqeepB9~!4pNRl3YNG%p6Z+~r&EfnaWQi~bgz|qq_0sFz`6GYV-k&*dl z;-foS!ZN$8Q$H2HDwESI3Ln(<&zBQq?s--cTx^nh6=Cb8V9yfKR}#3K;>1dQ;#`qo zH^EA0Xax{L@k!3eeDi|PpBN&+oxgt(EV$R6h;ucB3pBj}91Hl1RIGDiQM4%4ChO&! zk@I0ktCjes3PuJxeB?c9KQS#}=3-mOGprNoH3`w+3=9F-SX|Y%tawVKV#KtD;PU=_ zJYE~uyw*PQ;#PyFN2FkZGtzF2dC}Lnp+tdBfR8Fh zJR1|8cT3=K>~`J?(rb_C+NDWzQ&JM(hfm&t6oV36<(ID{vzG?ih0az1%^;L zTcqwIIx8f2kYsUN;sk58+;9FQMDdn_sBz_$1cP8t+=@#BGEHECM#Qf%y_wrHzq4;Lre6#>-FN=z?nItr+2L9$pI&) zOj(WDvG4AY#LvPU8gHfh8#CcnzR@HK0G;|wa6h|c)=w{h=ao;#%9>}1cfs5B=&nO!*vaw48zT+a?R zUNuBeD6X2|^e6?2mG!=2P-wl|-=xJkT7C0d5q1|floW+)UjRw^!+bNUk1oPDl=DYY zpr;qY<#!!bzNYAFp?XXW8Uy4{kIByIZfdy`%vl2nNPX9fe|4>qW;30Q-R>@tGVR_T zr0He9c7~*L+ayVFfSuSe?u1s^5?Q-HbKr-m}h57n_l>` zn?UhHXhTFvPfqi#=aSKT2xCO}Z!a&86`$2~{7Wv~o-OnQPT<$C5qZ|1Bh5a!1!_=a z?30a&#}sf7reij0fH-8@w23o_La0KT=(>+RiU^H}d@~PAhT(v=Hq_0okqLX_;AYrx zObLo^-;0G#!DUX&c`<|V9|F%pUM-8SGd{b-^a%Yzj5FwEg+Z&@@LGv~G~VKk67j0E zibBapg5h=)C1Pu7mHx7KQSA78pMpTrc~t{a$QH(#9K6KrtM7#+jmcNv7s*8y9Vg%M z{F-Wsu4P+|eiuw7v9={C7u`g}^ZqSSfigIKs%19umv;J8UVG1Z6%`V!NCOEb73uF< zQ*K}@3bNvfRU33A0~+wRD%WHeT6FZ)*n!`Wlr_}E2I`J{pBT$KdfIVYIH$;vb%lWr z4~1gK3wt3$RP3A}Bo8kGohY)77V1qp&Bx=fv-xFqyf5g}9uu%ZZrC7UmT=iJfz*8q zOsgP>WQ3@@R#H1vq5adWLYK;K?VN=abQGYJ7-PA?$>&iav4@cFzrIMipM7zR%1a05>YRIK-=)*lwaI4h@;f*5ifaA- z^L>8C8^kL7X;FY4F3+0Oo5W3xmCKmhAUn(%gPS`KV&Y|_!PGh`n-4q-NmvV0Q(x0@ zSmcCz>S~-4N|xDR&xER06G|={AS?d5DrI)%iAe<+Vxrv18OCE!Pi~=yRAzej}*^81jeB}-RtiD-3Kp3W?tWX!SbCAq=6m4f8XC9-*~oml$8R+ z#iv*$kc8vk^pQVD(-|mxN6c+VFd1!S8*tAyym!`EG}_2m{rSCSZ=-%+qjq1TZXbK) z#-6%kk*dzhCq`^~TZgT>ZpX2g5@Lkmd0THH5_1Jys3IlmR>lR7V#xbp{nyyed~0K7 z_a@wDcY{ulYOCE}{lx0|kVy=Of8-oE#6FYmi{+G^GWCtt3f8TYkI#oeWJm8xE+_V%{r+}ImLG}|qrV z$vhWzr{ZjQLFOMTJ6=WjlL7l;MMW*4Ie+!(CVsWHPFC*L-U~|g7Dgc#{?klKrxW)? zNA{tNlRiJyJ;uNYN{XPhyR9w1{H;LmLBEbWM=ubc zV!`I`o$t>K3Ia!|I~XFNlGmdBjxvDc<@j21JK5w zy>Q}d90t@lbY{;2FCD6!)v6f9Bpvlht!=`KvqgWY9x4>5Mc@Y>J)K%z(_-G)Cv8NN z#B@>vNU=MyC}beFr>rZqc#L~*SEgeU1YM*E`-7D3qqBb->Fxf(A|8}-QDMrb;D1XD zj)0WvZJj(0@WnVtG`~j`=_27IG8@5UT{qg(hSHZ$wcdgnpZgwAQKIbHijR)+Sv|XM ztw`@&+3vLU6_V(D5+Aoqc5c9OB29c@NPOWzd=W$JX&@~O%M&C#8DAUe^Zn__%b_0kXY)E&F0Twq z4nkv5O-D-T$ccC4+kTMaZ_Cf2k=DE;s+;UE@o# zX}J&IWOs8JJR8L4r?{{>Db=gwrl=N%=qE@rZ=t-Rfu8Bd(G9=n zxh9p)L!-+%CtPV`BNL^owYtBal9)(_6itCSVo|k8E??I6=5NQ#@D;B+;~ zf&%9XGMJ6?DS|Nh%XojR+HV%d8(A1WHR5DP8zHZ}9DBtju$FF59=0yNNB*tNn4W;N zA;zEsDADbu9pm5pG?O4@@h3Hp)||`F%Y%=dl>r0s z)kiB>c*NC~Y`DT$C1{z^pCX9!(B68D7%%LX`tV>(VagGyJ>II*fM1l~emc<-Rmdu{ zHTPMt=5U_il@>a@d(H`h(U}||z|yF3#$NPIj5Mu=-nI`Wf|I;Ck82_pb&ikuBVQr? z39hdrgTW-S?9&JVgEty(Ljj2t&AS<`N3&E%ON|FhJO!h449QJNg-yW8>?B+nZSw~0 zqP{6R!Nrm(BTH*{)I9KR*v&x0^Q>wny^1v^4D-hGG6PT6_o<<2t}}cnLZ=~5t7-qB zN@TMZ=S2b*#~W|Hbc~lN1m0%elt0Z;)(=>BuBwg`Fg|eJtBv8>roDE>SL)XtEK|5` z%bbZ)ciPi_tt0OY4V@vTdb!J8+ivPp8X1#*7L z=ge81jK4eGY9tUFk>L+d#dE;M-0>`=j%SM#u+W};is>yX8#+(^K~SFsGef;B%@tS?|SCbz?@JPXLe)X-*fRLhCPwAYtkBh zx;j&=?}nz^Q6-mJPg?7~W6Sj(C_es<`1QtIzyJ@cJC4v$pBRHv77`QKFmB^x;rbhJ zm#ffEy)a7aJDLdou*8^rjhja7-q$c6)2W1V8)`o)%?`bLl~UPT=7AjTYiDXd>CYsl zYB6=W612Yx=pjnDXEZ2YDm*DjStdf%niEpEF7c1i5*hHD&GFCW@4EUYTkKd3J3p$P z(}n4GT(O7hYad%v19U$f*KvUrXwmS+z-fVOChx#Z3i*dVnoQMrMp3jKU4lQuAu5?0;vHXgp+xlH00Gi~@g%GgP@ zu5uowCpzF%z$X_bKa#ESO(VB6XL_-F#EW%>fXlthT!20JDC?#}y$fTnIX>nW^|AJ# zgz;1*jhC<9U3ntceL1%5_-uqeOG^3VFa5hf#p_0WKvYLzkA+ixX}Z3({*NOJrX-6^ zCa@&ob^TB%9j%|*%69-l);v<)5G3{>gUJG{YJ}J!xdc9nFF!+0R07V+g}lM*9r4xb zCrTdV0J`h=ctPmdr-#BJ1uX%Fu5$hAy*0XPFG~F`hPY!m9Seugmi-fUp*?kzOuxGe z9Q-*WU$I}q3Yrt@Chd3cj=}0CcR2WdV!uwSrMvaxj!$msSdzD`uT|mq<8jBVKzvek zXAQP{6~jqZ)bbbXLRQFSlr0ktG?ri*l2{s3^)zd@6lj*aLE{vD=C^^W{gr z`M{|*qf;s&8kkA^fl72A)A7qsmWZ-2WKsHr+;ojQByi@lK^xUOnCENv9BSTjzq#K` z5BIijaG?FhQJJ_VBTXAT>V7$U*fKPuT`E#Cm4jU`Zgh}-I9rFW{p^r*uKLVq-u)>o zTQh%KouS*An5zCmIUh;TOI7~l&nm(q6SA)fIn{*Rw1#V5&xzfE#-8intA5b9 zZxyY%QyyqSXqo?|-Ect~8=5zbqFHA!bJYlHrQVWHpLX?Kv$%TRw&x$fc)&{B5AT4w zb7j#(*D0~ZRbs@v$_#}`Gq`8;l`u_>)%uztbHmzAro7(8rktP^!3cq4`kP^A_6P4V zikiE!iFY!)IlR~PftJ_1LihA}BKHeP6NWb{Wowi!selwjR983z>i*icc#X0l4Uu4W zhHg+_-aW^ddiem71(-LVYT{sBuN82PocKWeP2FA=%-p2*=3Tg z%IL~B=G*zH8okchS0#hR&lhLf>}QWgQXc(Z z#7KsE_EvRUj91JH=~=l$-F@VSCCoKZB7` zrOoP`7}7OAAA!}?{(0|tn=6$*S=|r7N#hA#UB+1?jW;!`eN951OS$c~imMzn_IQcS zC__s~+sCc4$V&WeERcPyUs89(Ud$^nY3%LjJpA-_6QhU7DP-_< zg10OQvuDI0uk5Pv$2@Lcs7%Id&uW#il+%mej0_JACwHv2{L!$Lc;}+e95pJ8xZ4ZIa^GIjCFQMrds1S< zZ!tXpPM|?*{5X=p!7vrvLhWRPAYutk7=@H02~GBryqnn#9Za@IGQ2OQ-t9e=SG&$A~5f%+wzXf><=5*G){LU$a zca8@rawC((YxoavfO}H3>9L^ZH)-%W;ml+U&AD(~GON-`7R&i$ipyf0%b<{@tA9g~ z`^*7gJy6iH9A7fbbLPt}F79pNgi$A~`!5voy%C3T$CgyB$6eJ&v`2c!yCNY_!p+_3 z;p32!2TJ8@;XWoQ5k*aGHz)Am{?3&ol>3Z+oFCkcV6Gr4tA#}0q1_hKbG9{fbSjjjV zrh7<=;Y49-u)kF69~$-#Z3WvIJZH=(eYDHp$hZu85bJupIR}CtJ$~yJa_^vKo~dU6SaQ7;X=g@u%FkOrzPfcllR3#j@e1M0}V%8n*{O$`YY z1Oo-&7P*)RF~2qWFa(JYynjVf^S@%mUs1#w(4@$}7box!JUf09g>t6|T_X=2Pmu?W z7L#ueh0nCJ76(l%dzjqy{{(w+$nl+=;Q3r=FOsH~VN(P|-Uu_nS5ljP0PDn~avZV% zL2X%iDEGS5WVjpCDdTW5rM*gw8*498R!~vAmg1T3&zN{fQ##~{Ce#Er1KDrLDIE-f z(jn*_nTbUC*m%WB5)BahXqBS)%Ca>A(p%)9^$*Y{GJZcT>r5PiF&3VsEHoQJ61X3f z8QLc{{C-&l7&eSVv8aC2|EOQYD5)W_HJ-sBAEG-xhyEV`)|2sup7_5ZvnWryx)+~7 zXU^btIOdhsEW*R%AK({4JqpxS6C(M7JW=Kk3y`R{+9%%x+V~Jj;-yc-4rxi$Tegzo ztw^5@w;K$8ye<@Z9XIEiQ(gO1BCq=pI2KY50r!>~c?Y{|d*aiH^qHl+mQ>su>W(r_ zjvUPb#aFaVW5^OgATGYY?z29^cO(teqqH- zYQaw#wGz5hr>NzlMLbcjYy-EE<69r^ZRCW_LGmG99|GYOdVJc|}cKrrrofkAiS&xnk$xJAo0$~k?9scTY?IlC?9KPE9^Yr(sVRb|lf z`1Y?_oyQ8HO@a=RR;PLI)lJZ)F+!Q#~p5ofMzE#_m5gFvoaSlgVv#GH?`^j{G8-}pvuB$ zNp>>CqX441xm3nk0@#Rjkbd#KA2LG3)VA{=j39~O=w}qr0aAXVJD7S)6HSa37Z?7b zv$Xo0URQWO?v2z-U~&9zJ(;fYs$`CNO6D9`3ZH%c8CEvSZbf;HxX_Lq8>H6Y+%i!_ zAe<%}t{>=1V*N8qpYDlQ3%c$$m%N`avULe%Sh(wrvnX8}#HSj3bBdu8{@6>w&1#OA zv>Z5Wf4j-QHT__+u-uOCLZ)_2&1793dA$_sIU>Oiy6ee%L|OlJoFhOk_mLOvxzWlY z+zkVYVP(H0N^^CLnM(0j?9B3nnG$FiqS;f1s3L&q;J-@aPwG|+p}`<+lEAM`Em zz82V__hCI{&|MLT{HMoq^Z3p$(tSpq21k5NO;VU~8{{%)N02~XyYfq5Pz#N%aM;dY z(=U)CpNW^b5FDyG*duX4(4dLJ*K1v&8Lj>L@m~MTd{B(IVz&?fjP}UL1S>?cCnpdr z$b2C8>MZW#&yPpcE(5nN5qiNU^=Me&i8Ui6cudmlgbHYr;a``?y{J`r%sn>nDZ>D} zxYqg@|E^gb$ZPpl-|_xz@G)NFJGms1ah7B8FeUoRe>J7U6XRskZAZM<$L|Mlh4+B< zJDZg7Dup|D8G;oD4oY3d!PCMK1D^Pe%t3C>DYr+&h#E3bjgRlnS^QSyIHHEmjn+& z)L-E~KE%UtbNIvQ9{@7*fhIVLk6H2$iPuB4>6aW4PbB%-_p@cPu2q@TD1CKZ*sps5 zrcqRE_!)8vgH9N$8T=oKlg@u;?YW)^Q6g5W5|v`h=Y0QoBZQgH?g-#iFk)dG6ir>G zj6JWKZFZGLQFnjbf;3q}JXsDKU{5R3^qIRuQ8gX#`r5*Fo}*%(L|LuAi1}>4{$%wW1f~ zB4pws6y}Pi;X-ERdi`N_l0?xvni92izkeH^0_|4a(sO~B+*LY`XZv6pMI`#ofg3n2 zg~D9t8lM$uqi^~>enToDGO5uy&_?e*O7l$Ihdu%zbhIVmNxJe3TnL3BJ@KY}j;lt# z(Ls^qAVa-r6ysq2hYJ2fKmS9&S}L0;{R#HjjNZoS$FmcQ0x=c~37XcZ?~7g`K}m$U zuW0MRN8FO3Xy+1~!2sNRv$8NC`-r5WyPPRZHOpm=AhzTlGR18sQoO{k(L#KGv$p7N zb`=@Jw4F~ij3{I^j0_-@B?I#M4fsT_ekJ30!x}~sfoAJd5*xo#Lj$RWXN{A?!5Owh zUalzKm%y=ac2Xjfn-dJSgkCN+`e2aJ25~+V!UMLZtcUfU=A#h`2focysUw$_Cb zs|3fuCTaeL%6MTb%Bg1yx#glY;f+Z7*e&J|HKa#2!T#?OH~L7ICZK?oA+#^G3$ziD zTVJi|76=A1(TS|1ch=xy8l`KUp@wt{+=K%rwp^KR%XNiS?Pn(Y4%ozhH9~MAxtCT# z%thTTvg_M~DNNwy8okLxgXo%^B3|y-_#c=9w3F`Awdx!Z)|>@j2{|ITw8!Zs9d0LS zxufxsCn75NL>;uq!s=Y#to&<3X;~l8pa)6vyO$)e@I1pHOi)z}&&bV}uFIhZeHy!K z7l^uaBqKRW{4p91k#@7$3R*12X)o|OB0LA9cK%hR^vNSCJ*6iUf+Y&jw?vhh^O=Bk zr0|B+CyYz$EYZ{tB&Ny4IKzyZjB(mKU&-oO>qO1@%>2hG#v#&*GN5l7hcSh5`y?2R z=A6L1%DQu1c~xZmO=6RbQxc6+cA==CWAwlDVXp3v3{ZQw7aaS;K39ImBtgBY{8`LG zvZu?Sm?StnUX?BKJ`kplOB<%`&j`0%0PAf0GNM+BsHiX7i1%HM67@*P@XD_e_Ke8S z3m!($VZ=hr&jt(<7Bx=oR7Z;t_joOYGw~we$=rx~9hwa!rOLUAjTd^>;^I2kA|VkW zQr@dcn78JW+2PiVVM9A`S)?!^>{v}QYhm@zXX;nchT${0Nv8Iy`^I&3^2!fz5Coxq zA_yV@ArlA%K`0MG9S~ZA&}|XdB8IOZuS&JF9P4B>O?ndWSBQ{a2Saw=SNKGe6tV{9 z>|J~~GBRe^eY@wnnCN+t5+aP`cA-_>u^0y5X9aCT!K#o(0c6ye+d}hSgNG-M$)m{Jk?9M_6Z*9DctbsWu-J9N$R_$0;gr9|%Pc3^+hCRX`Bjo2M+Al=)Gg{ie@MU2$W`dI@?L zrwMzG?J1Ng?cGTsYs+21t)Ic|0vbl@Z0pZf7|q(9;;UDh z^rki8oB;Ji8H^+JjM2fmI=bNnGJv{5?#OxRM)dalMy@2yng(62MqTGSA;n}D>u3_W z#kv*tZ__LD`HFc=$+eL4dCN-$qU~A&Lkug1i8@VIiEdIHa>sim=H(9wTAcW!-xtl} znQEJZ8y=!nWjA)>deBk^W^|3)8y1)~BK8{*lp&Gh7A`6p*g6MhE-Lif$6RfHH$BkI za*8k3^6#ll>%4|qHqGn+&P_Ty8y?tZ)yst|yJ5|r8pMAScMuiO9nazTbORgl(xvX0 zLh=S`Y}w9qkX~{6@&?G5U|4C(C5Rj?gm&V*u^fhdVt5OsC{QdF{Q?K)VPw>1=8}?N z#$aT0RhM1SLeG4|B(kMMi6#~Y4S`NDC6{%PHr>p#n8%@b}Vr zgNLHHTueOUo?#kA%@1_Q>W9Cf-A3DtOezc@nl5Z28vh4(QcS3iq1iAmq-=26449K0 zy}TcN=+oOh;;J>%$wBSiev2M_+JQ(@ibdQbL}Ws9i>r>_vkuf`793uLnOGGXUJSW4 z+TpiV>B|8#$6|T;a>NF4&3*#41HA;_FW-zAhU-m(#)7Lv_6?9N`u59YK|E>)m7F6& zBxoG4#{DEQ{I5#;j>gUa=?j;pvdc+wjM=N!GPc~(7J&Eo74258o~-y0tKVndfK2P6 z9Ut@(_!71+jbwx9xc$7B0WIn6?dkP7{fIkOF`WoT_kMrS5QDld6dusp!cZ=Z^H@5f zxVNzSypd4ZI-B*$q7$Jw6*hn& z>v!COJfj4z5&|L+I3TduX2I!nU04A&(3Y+dK8v%9qEc}(2$bgdt&qq+Kp<|vmO^-1OWcO2H+;rZF#O%5HC5jRb@ z`|6?or9^0d=^9!Sy2f~(1hPtoiwBcG*Ju6M0wG+EyvcwxFiZdOew+V|oX-B^|6*fV zJ?gf@j|Z;F8XZHC-S%qph;pIf>i+d={@?|Yz4GS^p2>ClnGXZPty7^3m_yZF6Q9F5Ub39E>7ImtIL@u~^q3h6t zMy{5$MEJP8Rd~Vi=uv?W5W8_*-N+-m9s-F!A%^&sqH!YUcNAt2h^!~l$Z&XT9Nt4n z^TbfMSRP0J2&P0I4OTM8!8;*1Iic6bD5KRsfbg3NTK%9(T(|C3au^)4Ad&q>7_hrf zpHR0X3%day`3>!|G#prSZ_@6lNq9&QtjdQu2=7cC#bK4tMAB7!Oxayl`L;xThB6qC zs@z6K{l**yzl>B!8*g+2$-s*_tYCicczbwGPi)+`UK zpdwvZ_UN93d?5LL1$LZHFWOp9aGW_V%ks~}b(JKZjRcw9G;CnpJ-cAgxxab2Fj)ec z&9kgO9Y)7kTHoRMgMubxKtU6~VQ!{&-KDp3o^O$&GqYH<;nKqczaOJ7$r!qKdcch2 z^!>Rpph0B3MhT~VCdPJ>p;(WcLLK^;2FS~|p$$ZbeL??JIJVy!%$#zF)25fLd*tYD z7e6$Tvm+$=v3YSqs!vcnX4V;evA=s(>-umh(EjK5XTE50Og359q)Z~q_xxF?8U=*k zR~HLrgf#7v_ei_qK4HXNpSgp$B%rNOJSaiZ$Uwp}?V zx{NMZlD-75V*XhTaEWbjJrSSnsE18IIGq zLx|KNI_I`K=bsm7LYJ{lp@QyZDkx$qV8U@fgQx`_%!Bspk||L$MRD+3;`HZYZRh&2 zv&E!;W=;xuPLDEH0#$Vvf1QWVAZV{RD=~PL3sOL7uP`kvtDzj}A@f5ApiJjtVr)2M z3vvr}iTEfrbaE4y332B*fCcy2Z}UeF94;!l z2OY$xw-=djx7%&r@5vmZ9 z7Y2x@GsgJ(p4QnHlNJW=FZPl7-0x^%bT#d0(fFjbX;oK^7j~Wya4>asmZ*<_hm2Pt zd^1<&@=8gll|-@4rjG+Zn2h?JNo=5se@5Ldx~ExNyjLB?i<3$g4Q3({RI($ z=ULjM%}WXH#ij|Hz9OCD+w;dqmi4(dx&VFq*h0EeFqdC&TaTa7m4%#t-ezl<0Df7K z7Hh1h`LI-3Q^b9t>;VLmvLsOA$Uas)qvwL2pVCOc34UI`y+8kX`Sb96XWP7d)ux*_ zhmdv|<=Wk`aOme=IR$>JlLLu@-V)v2k$d9jPPYJlOHl=RfU1Hb%kd0`?9{LH>_J9Y zfC{rbv#JG-SDH;N4x++RWl9c0kn<(7_m)1XxOuk)O?ame<653EIbJ|}Odm@Qus__# zfL;PWpNy#7P3lia%_DFxw(J2u8F3hI7ow%r?k;uAI7PR*9zGoeCK7(OkY{Ly&+_Pl zvRuMPx>MY$t3Dn~1SX!i1E=>kXp2CY^1lVt-JK{=AvwKTw(#QcC3pvt_KpZ@|H60_u@tRg_~jcfZ+xp$P=>3vINY zkMn7RH$+jT``6eyqMrA4Ns-iGu3;2sAz8zCUT8PA-j4#sI;Le-&Oe<$O@=^1YF;q?=BIga6_`4JxEj;zjixn-IM%OOhXLJ8JD-zlxn)>@2vkMr^ z{nphqWI>wz(5@y>FHh1Z!->f7#gI$|_g*x{(wmj_(cShUjeE!Rt=XuCviqmVB!X%u zhkV>kx|HI{V{$`%VI`Gzs|SM?>gNW>dr=SF9m9QsiaTB1orUC2XkT_KN)7pA} zMW@w8vnuPSwZ;C5Ho1#CUps}6pwQ;VMwhRk&~>LupkB7Y=Eqi1qs2yHc5U-)-&k~P zgdrKrCO9a~1KHQCJYouZmR(=Ul+U?{TT_g%JU3bcILN&=cw%EhX!j3P)jK+CGOs)r z%4t?jj?Qbh@-t{z?0?p*GW{PUzq%nksXEN7iqU^Pu~?;=vjGK&k(>8+GgDn?3vLSw z5H&x^3W3=xc((+I3ZFE9#8vQT7tv0kIQz{B@83Xr9?{n;E5J!ut1FI``8=PR7AI#e z@<~((OoN#IFi_NIE*uGYPo~%&KqkWOBLVq5O=|`c2}=H2cPsMz-?~e^8}y$50xvyn zfr00lu&cqSO$DSC0=!>aQ8cRpe_Gq`ujsZuXjc9HS7bh6h>+C(oLHgPj-il3T_&S6 z>qQxp2mXUh3ZH;ARAQr3E4%>-7Mg}B! z1muw`61Y!sC-JT-@7PF$*CSI+x;%-jZhzQ>e$*4cPq_>WLpD1X16P1&TMosd>%fY^ zsczyde*ykP0d~$+9gFk?Jc77b2p0*+8~?2>q<}yUIFv~;Uf#g}m!eQxkmuiEcx3X* z#;MH1dY45Pz{#oHj4~`ER#@zxhqXe9_j$^YR!EKV@5dZLg^WB58*;#bmp)|(5Dv_H zR`^s>3|{RBvvG*jF^BI~&(SI`0m)AmY6#Q0bxC!H_mxH(o4!;%3a&wwlFktgN zOaB@^BXEY-P2|3`Zlo6N#E2WwNY_&|9WMx{)+SzZ#^=qP5l_xrhJj?O(Rbf>P)n@9 zD-B#7;2_b%9${wrk@`!nNwz(Af-R4Fx#P@=5!N>ys!r*)ySFRH4U+WyN_5VPNJ;hf zaB$=^6pxf^ScktmDH&IeSv^&L#Vc`m^suMGre$* z=>*if->3=y+5D7E@E4XBW1rdX&MAqm3VAakn2E0oiy2`}dAmlNiJydh`3yf&`dP>p z%+ItiB|DatjJ*sfD}BCvE9@dEea@HAoC|xs%_}<4QM&j8865jwYvtTfYS$YjZp~lt ztFvE(d;FvEZ*Y3m)$XdvIM0=_;2Tj7lV-?LAUj|epYqf3(`yG6>Rvcgn#jEbJ3GsU zD%eO2W$6iI#S7TL=`$J?g}_dp&r-Z~E!9nor>K;)gl;GrHiC@6A{jnki}0mZpc5s$ zr?;ro3ZYw$5JdquDS(5WvOovL3k^9wz7nMY6*3{dU~9I)PbUBv?vej#)>Cp#o5EHO zOtMgsWFH)xkDg?g9KVqy2L@byjzsA4qah@|*+-mzJS292tgbIQD)&(BOITD?Zi#nR zX?iKr*<%$>Nh_dVo zH;96FXu!nhIUX`3AmcByyK;z1t&415GjFE~Udu)d*TnE*eCDDeO5bRoZC-a+j;!gEp{V< zp1&kpeY5sQbGy7RP8k=GV9GxD$L^hztyKdyi9079rE=|s3@lpp7|U`t6+nX5_~Q7Y zHgi`NsO&klI<2~14`gM>13aEG;s%053d9%wv7bG;us&J)WwN=F3@Pg>SD@>WYmMpvy=y^&E$SYC1=QOz0rT5fBK{ zBq5zo{k%ZMAfx3AsA;~xG!**VUjh6XWb@A2H%`dH@XFe!NSOE6Px0?NUevCNFukoS zuLZTjxgklMrk(^{NHzgP+*r;)Ev2OB|L~7du}iO44bfo#y|qA}$IKq6JR~aV#W}fL zIlcfiSm=?`T~LAJQK>hmBXIVLPb7t1la5CG71S}ZA8qw|%jccKpr98CrPuu~k({G} zDx~Q^(Ak`)hhNKw?E83oGU@fg_9{gTSROA4N$GR$n6OO0a^KdXnTae9Kg(Y5gY_ku zl@LITk?=qzuRFjo;)IA=IkV8^6kc_oWFkXEHe$LJINTcK$YkF!PRQ)t-n*W#CNGg2 zi}#bDg934;MHLw#egDCrUf7ihnRUG+9x+$|X}(05okWApWzdnZBq}+-Rl!L%L3C6l zKTcS8?ykB(Iy#y2@p3z;1b4^OT)8Z&pU}O* z>Y7(Ls@jLTvbs90zCOZ2vEns9-h8^=9-g0Mx%$EEO(ntXy(Pge2gpQ~4K~~wAe>@S z!LmdOz&-f-$=3xPT+lU9Lcl7{EMQYP@X%fE@&ZxMy-UZ{52#Isbc|WWn0}y&F>N>J zCI^E!2dmin&1`AoG8VD|+lT~p*Jf4o>Dn%oyN~6_0Wnl37 zWsJ1s@pblZ=sgX4T&&h7Ukln1We*8_qyZ~)3tCSJKD^P|;_pRL^u=N73VaLeX>>EX zrU_R#X_OsO)bRH4a=p5ys#{^@eIsy4gPCvLu6{5Dv|Z|?c|El0vEfhvRt%T>We>1W ze=(U_ry%Lk9q0mtyuJ(rA_Tj$oI4cMPV_)XPHvsrd|;Kh?`< zdu>^zT9Aw3ntQOexl@hDB9P*h1YrRP)1|jpt%qRI{HQtJD8S*~=eHkz(!?y)-7Vqe z)@Wb^QU+cPBV#<9+&lSR{S2akFneZcaLDOlxPBCzfc*YZIAAV%skB(IfUp)}uGmk0 zVcmb2&U6?s*Iz)`_f(^0jOP1#e}gerp4h8v12=Y_%1^-HRzXJ`dalZ+YgK7K>FEAQ zd~kyKFyTuXz?`f*UBBYEH97uIhX>BYu<4l~G$uv3Y4+QnNB2d9AHO{fN4JtLe@l0X z#58_h#AUI}N%TmJ!06N+)>i!V7fT=WdP1cMMhv#x+2Fbw zFvNO)aq0Z6CwO=4@^bIUd@CQEeR(LNcP0(mkpI~?2Bv$^gJXScwAlTK{08hE63)UU zAkOq~9tW+`fzl!D*^rn*Y$J^pjhCA7^rR-4d&Ue0NxSad``AqJ5Z%3rnPv}LJI4hR zabW~oOzO0Hy z&T<3)s`q)KPiDbmqGN5T{xSZuD^Op@i0?viB*v(anNm0#O!0J>Edi~CdHV?=$?^pM zQHnqg6v1CEM94pT;pO`R#%gsUe#2y)s6mb zn>N;D_8nCJHJLJ=dRKP74=pPfQP&U5J0a-5T_nryg1LTce5o>H6H#x0Nk>wBHX7L<__^C) zXbJ4$w?bR6o7RX<8Zq_B0tfh%U+Ph)0;(WSKYPXphLDUo&l_00_-+r0oDwzCuVJ|EVe z@1%WpcR5BpFH={{A&+ccVcP|ZM2R|juUX$+HMzGh8mwo^tx+&HK5x?I!1TkFWcRIMf#I0 z$sZ1K-&azZKk;TO8mzBqKvAK7IwE`KLe3Jd48=Z|DJ*UHm9(LoFh9u{)K ze@`3t5JoovNJ|Oj1ha7#j-enkw@ zC_1q~QWltA(4wE z%G8VGlKyJ@_U--QNlbuLzhaz%>R~mBInr7L>b;lB7_@W9lb1WEMy4{DnO@V7;eiU& zJ9%yXQg98)Q$hgPtzM z-a(G7%+gSa))8pSCunp@km9nkJqfd#TT#H651T}l2B;UfK`K~VE2Ri4l;P}F){tu;L>dVJJ37f|gCw>lHM%lh+QHXeTiZ@eVbM>Bwzl3e6;TouVCzrq zwB@)nXaLut729){)Al9xDXjLug^RjIv*qszBha}7X?p4diG*f z7&*BWWosrU1hbGhdq(#h?9Y~{wa}1sHH;9trD%gxlXOj0#C3sn+rY>k$1(vbAW4rO z_z!lYa-*sa?!$a?-f^{o;JnI<4lJmwTvmyGnur67E-d>-UkkWLf`x!oOG@`;ZZjVI4-emT|Lh`5zQ3ZjC^b52Tb%` zL=MjTt6ry4**rRg1Pm^(Ztf+)Wudp1s7n8xP}HE`*P#11t!Sm%h~B8kI32kg);R;i z&1VlazL{>YUe1CeDq#>G*S?fJ+w4ZHgxhRd%dbG->*yREG+y!C41)40ZQz(9Pp@v& z7VX(xT)+%4==aCbMGEO728n1x5XiIkkV?vzLQRV)KH=UyyzamMIez%Dfy6?%<>cAY zrH`Pb9>h%)Zq*kL2;U=XD@(E8b9}T(+pK1Zd}@mL6k3&E+ZR97yaiz;kSPY}fsnk2 z+gF{p<{)1*NsSZWRFK^dfo}!$1re1SiO0;dlIK{a#!XQcmqsrBKPuoE61WiK*dMYD1ar)4m42m2?{lEy8GSZ zlNfr3z<$ly24Apn8!gnkdcsNW2?>^igwW?wg7IHYkt@um!+dCJk<2=zQwpxM#|M9B zBh8zCj&aMX#tGljrc^esk}(`2Q>au%E7qiiQ)6hT5Epl{*0pmGRBDFZu!a z8_|hT$j)LVXaW3w@p+z%Kp)M%Ivl%2vL3^a#3MYpyK-t*6Ve<8_P`YN-}*S%u3;)1 zS3<~qN3YiB|8AuAV1gM1t}XzYAdH=pd@Hd{z(^5>6xM+LAvg!=!r&M5E8wlyp8 zX_Sn!AoI#Wq&98(DGr(bQZIs{+q^(9Xf~O7s@;Y*0r>{6O25*FK@8*+tl=3jon?e0 z!ukwpx&|mw+*O5+*;bQDEP*FDQI_jLxfI zc=<#*MW3JQ z8c@f<=zOhBSl}naYJU3?7!YGLv`_(I<#p?<$7~91eGh8E0%FGc%LGNTl7aH8wl*S_ z&@Q!qgKBMX4c*FgR#pc5s(+nN4YYx+el__1%>yCG5q7pxQW<`kf-`DiL=XZi3JkkU z1~vR7UM9bLOp6vzmtNg7nt-^{aphLne@;W;uo*FYkbz`@HIGWJF9?SR8B>RvO99X` z&ze`H3o`hF3}3hvGL$#_B_|i6RK~>-F9oe7Uo4NuyhHl`5%Y>YQUz&p~w{n&OhuJFW1(_eI>o>0uLqR(Y^W%S2)a6}A&x|ByiYja7k`fc+oz*dT|J zo~>V*dLm2Dp3k0uRpA5_qCn5qbAtEo=Rwc=e9q@jNSRK*TA(9$O2Jf8NE?4k*$NcSn^U1hgBKHX|C@Iv7Utzq*Z{2 z@db&7EpWE>WM1YGj!8zA!r6J)HA(4^Nrjk9{_b}B`r%c|mqc1H+KYq*bQ(ppS89pI z;#{}x@%;&+?H6LilHb2ZLixd%qIbo_v>)uio$Mi64)S4fQ?DvfLXh<*4C{9fk(^zU zk@c#k1IM^LIvobC&XJ>YR_6xN3&XQ*>p9hIb{=`7X&*2UzlX}jHo%%5KU*3~)R*nZ z_$#&^P!RMFUPciT0LaD$Q@*6CG9j-mB~&i~rSJwG->@p>tgwSwY}hDJyP09Wn+$c^ zV-lt_?M~_K^rA}A6Of8>b21>dTmisvKOw*2mF8dXvxS(CZ8iHNPb>tI_9QF9>!j!^ zCN_Z<{VCOg-z2L{19@^&DSit?a*kl}f%TDe7lD%Con_7#v5}oR{28nPn#m$RG%#im zTNo_Bgq+Ur<-EcJiowfw8PFLgilKiS-#Z)S^4#=D$aCE9M~X8}j6w*8{g}t2ha54! z*_BA=XFAgKQVA=(+z0x_l%-WV@3%P2WAOy-UL>y z);_XvuA07`HRn%TD#&xp9||ZiP9%@TIuWMR@sQ6#P7F`=&}sN5Zw)R`?j{(0E2fGQ z^NY#sM*I1c^+STA@pHE}<(4`Is#ydKXXd7;7N^fEXaRh@TNR^@c8m47lUSa^>}s9A z4QUG~pitii@$5W&OnwNrCqMj)>=4p;9i_kj`QAnfai!*NTjZv|TJRv22=Vh}$0oD*Cuh!$qdiz*NKVzE zO?O-K!ipzVMFg@>oT>|=qx73^?@xm3-!?9rX@rtf8ef6~cl~}e_EwXN%#SEJ->A(S zU~=x2cwDIob*D#utz4l$|6WKf(-^+K?Kfj^hl_=bZ6P>-N?M(>3-|6NqA#qJ!VKY@ zDd)nbpHUIOg5X-bST9}WgCbsRy)>g#II0FbIDXMwnwmjK0I^DlF{k&)vTvTo0i9B9;Dq4hIfjr>-%V ztf>*-FU@3%KUn&t2r{+nCJ>Tm6I8tIOSbcD9I0CwaA492N?%hQ+vkW%!V4yQoRfiO za41LYZ*-Gh770I8OwnAfp(bGiYZ*oI&ZzbeQ@m?z&P5HE{e!yeq~QF`GH@UwyCe+J zQ1+HaV2q9jP`hmU@HQk@W*#(cG7OC{;X|$3240ocdj8(Gwyc&%_*r5qCaHldhJ`a#$`9T<#$4fh1K2qNU%7o*JNjT-n4GDJNz9iEvA5cc(TD=LE82t+u}k^qIR zrcPmI4B!0>>lJS^X-q|xjNUkSy(Vj&HFYyOS7=(hUH89{#0 zh>z2oEaWCLxMIi@P!>aCtUP55_R{9 zja?(_BZbyMI-`C@(M!Ww?UmP1-FQy0e$=4=UIDZ??kq_{n|13Fm_E2xp2JER9k@;# zmE=7get7aURK6Ky04?ui z+!DJ@9zvLKY3xSY7m6p$-Oy&ar4Olu++n{JC=vraP$GG)s@2t4oWN_=9)Tmpa$aBbm+rHbEB5gRX%6@SgEFnp)WfF#G)F<8BCc zMVJ6eECd#WGE?ueaX+}E*qw8?k2e1R{cUgSNm~6=Qq%;t#^sCRm&!@_YyXV#GR(mv zW1a-{@419QctEse)%a-)S2>CEe1%Hh(+dFoE@BOM*feR^`5ErX1QwG|DzT^zhcU~+m*7Xbc z-T2%yl0I~@>7LHOHPErjqPQA#}#^fB|9RQi;seV zA(1uOR6m>>33>k*Tx7X2XG`|gAoW}Bx+xldDpV}BatdWi8$u4Jd|b6h70 zcSEDHhc8}+vQzz?oL*+pfNC~CO6>?6vA=#WEnxe!kQp5Eh2@hvSU~2~N9dtK1I5%1 zwSs=Hs^H_I3w+*GDt|0nLVbt5TBNKwYqWIthuY3Z|0=J1Wv0MM%2>Nov^*#vZfWB) zB>|>v0~W%Z9{ZsGm;GC@Q4Ea}3L2_CUII$S#fX5Q&IFoa#kI8az^~x9Uk^+|!B%1MYT5#}t2^)^^Q3#|=NY#(_EXk^3@dawF%1cq77w3h zLp*jj&y3GeFvPbW*e7lhg`308GpYYbISYf5)oh(0oEBIj+`~EuHANIy+mFd*-tRUIPGiU1jC^oE>0oS?L_tW&o%>~*a=qOr7 zB_F5Op=v_rLT^8@1{$UP)NM4}*JS$Is)49!Hy@CfwqS>yTVsiUkWu`X5x7{caAM^e zrCjtfuLtY5Av*sQ*Dq4mGU)<8j48=TpNKnx`GpNwRA7jEDM(7{+KQT}JfvHe%i=?7A0DTSP1=2Ovp-k;-H^lIttL&BcS#|Y zX9;bM#3pQv&<5`ZOvVqc+6OVtB`h>#ZfMCsMeymMYxOsdbQ@+sX46WXUcs~kF?2|I zOyZiO^U9Opz%{~Qy@ifv6+&GC4oWiCvW0bA0^=l^St{`VijAzTfpgkkLJ~OkFu$m7 zCb%Z?gM(Y#l>O)%(}`5brda;+gN?`%?wbeY~z2{+GFDN)u8J4ge!PR zynyj)FOkVs#fS2R&6Rw2^yblxKNd(;p@-h~f57@XA&l zJUherGTszSxE0wSOM7+mCxptbFsz)q>|p^1C`N}q22QA~Z7g^{8SGQjP1&pnQm26m zA(N+I(xQir;A%#P_YegY{ud3(oSm>@$JsG`gb9}9o!Q?K6=r)=2&2+~RM0xCoqhV+ z#VY7+q^qqmtEJJ^+>M;-A(qUY9qRH?V~tIHS_lyNmGJtC08sX6Qs$-^n^)7|k+A#Q zo`0nrY|w=Y`POtA%5RLm6L!wNMesl|tz-eM3|%!ad#2pe+3j+vZd2f@Jh3Z7QTN&( z#_2hz&d9*ce72drW?R!($cRaEOMo=i;WJSI;E<0*&A`L0P(qRcIe{QG^wuy=0|`(J zPM7Z4gb~Lg4JoOM-D$630Z$_RedD5b$1fCpi%?|E43sGvX*W78e!CA!8}s7PSFn}! z8;gRh04PF)SV@y~5*Z|RW4|=r9HCRSS%0B|Mit%TN#o40W%h}au3rYF2#MiW@;!DD zVwCz%4^5x!hOOX9b30At6gx1K_b)qZ=TD%!Nu1DXULXCUxLOImy^BAm?fxU6#GH1J zyIO_>{~cfLFhz!Qgp)~Rf=oj^_AHf}B7d4)=o~g&`d&z%)80~g%*!@oUxKs1{Yi(+ zLoK#i7x&b4mr_QU-ocJ7Q?zO@=BbRK=zH7NXq>{(_L5WrZ`=Z<3EWjJML9$^7l6B5 zUH3J$ zC_zzz^a->sNzbOAv=o9kb$`9~y=_C|;#}k>~@QSFB zrR!T@35JHNEvyo3m9_?-2RZevNOqA5Y}pY{vC#T!6=p6LE3{4u z7*mTJ>a!Q5yaknRa|H6}-vO}BJLIeoq?PjWWfp-PWk={dcTm=_1b9MNDLGCWKgY;B zs0h@yN^lt`WM?5U&v>!8ILBZQP~L+Zqw&3cIQ&FDz~3u{U8_xo@q$7DFd zk{jLpF1`|@>ouu~^3K3+9unua=Wf}qDfnK9CCFA_PyKWgpS|z#XNN~gTJHG57k7Hu z$+}!Q6Jp(gH1S!#(2_K2bzZn1l+}rdT{w@*=*em*(S6s82_l?}*$`L5Qxo zDK*?_)KByf+g^21zE^pB%k6eOa_gonCl`y_Y?7vxa~Jk5$rp3`4|Uw z4jT^!IOB|YaoBM6#(S&aBIk9<`466O1NtFB;p`7+jrF=nEdv|9E zX~_->)SiOh|GFT*;)6Um#uNPf&QX2xI7rgwCw#U0QTce?ell!J=!Rt(1x2-}zg_@Z)_syES0M zd1X+JD-Tup9_)(%g zAnrn+C5V2s=FDCI2v$zJ=@eWyNbg!k4}z>ETm(x0Rq&Grk8JjbPknyYlwI^vJD2h0 zLfCIz6WUd-kUIVUFC*BjntpPL20hVn3Rg@&)kyF55k#Z@KNL&hxS-U@W`|<5U2jPH zeS;yiSQUK5C|` z=J+d&-Wf%i^7q=H0}fl)7mT)-+=F{utzW#Fi>Lm7*x;Cpb%zaZ(`dhwUe6EE#slbt zO5GkcyM9Es5NyHyvL?S0y?LCgq|+$9uSf9Hu_JmMR(F(xJ07__Ldz-qT z3$pruu@8b+2l0+ezX0=lCh6p%=h=pDGUiXTVkDQmQKd0$gD{rE8!|6suJ4_Lko{aX z&@Lro%isDPI|p|cox|pS@|2aLi{%L1#{Qo z%E=Z=X;J!L79Ch}N^V8gbOmH$lUW)b2W9jt$_Tt<6=M>+rw7gh9430K_AF5}KPmmF zA^2+NEQ{v{D!Cn({2* z_&3gQ|bn zUUBj@8k&xdBW$9LJV6yO9-k_5@0-)>Im)$@=~-)iXU}iU;TM8>y>t||Y zq~Sv?>x^f{(H}hRyQ`IIx-d!+f{OvMKkF|FF{N`oLfz*v0}nb+g6m$&y2oXLwE*4g ztjD=~0P7lJJszqlp&Q@K7L;sSl={&*y4%y(RvdS=4cfUya4UL(oLl`Mv=S#|%4Jl% zUFXZX`6o+lQ|q6hO@kpgm4c+C`NG&>*p_mpr=)OP2>3*BT{*p%KUuy~LGjT`B%?zD z1h21J0L{yeFmdVklVSo5{(QTcl8qHje!1~vIt80MlihITS1F4ClanA5v|Z13++RzXAx=$sYtyFAyvv!f z;?4a^@t0W!GXG{@r_q{>HNHanIA4_yOBzf~h|34Vz2^6HFcP22y^N;mfv`3quE$WQ z!bdw2^vXJ93>%W%6KP~_V5@`MMo%5RtzQS*V2P$m(f}@A($2i6U_B068ZHPfEe}$k zk=iMPRlxsehie8g_5pX;At@_%+0m=m7YFQC*2zSXk?mM7$dKLn!k00qJW*f32T5L`l>)u#RJQcsRUa3HFy0#!&deCxsr#J_m?BNWcu|ez zBbRDPSPEy&QE?r!7N}Z^Z|da^L^0R7Ft8nY_zur0_{6M>e@ZZ;PzUl+U$JrtNEbs* z=Y*ymNcxkTXZ=7-qs)%E!}T>sS4{y?6D;#oVX2h38gdkWV$c;gRrs#O7F{Z`h$Lb< z_3ptd7$Bw^h8%cPKrR5$W3jlfj7xC!z(^Evg4 z4-oNk0=7vJ@vDfQ$Fop+1+IN2Ai6{f>gVD^L`SEQ;syFVQ@`Z(%20EIm0GsU+#a0{ zV37p7EQM}v8d3Q9H;piGqvEyy_8ZXKm}pAAHjWiLsE~CHSTJ2**wwEu93C8Lhu0VO zX}DFnf8}slQrzAQhW5(1fC0=&$1w>n8c5Iub@VgVV>i+T0Uyh67}#QPI*T#*<1iqv z3J2B1EG|mBHsD`=(=*N*4g}9yW%|YmOMe0XWA)4#ZB^pj=>*pLi?e$#K*~zSpTVYg zZ({tGM8+z!lC4u*PNGp<&I@=Az}o{pN`n%mqr4A@ZD<@~b5zLsG^`4Gn=;jZo0+nc z6qbhODnMcRH{st1T7YI|7jTXL5VCI97>!NM>{kAf&n8Y5Z=NbR-YUtqD^-Veq-EQR z^3rAJ%}=dHzbTDwDoIb5%&U|XO1ibt19!#gPQc?FZvBcOX2Y0Qax}-7ZVEWnoLzgiNHBL_nm4DFS$9BHX~L*?W(Sw4Y6eV%#2R)nxnseMVP4m3~ka(yv!Gb%;a&K_O*9TS$H)w zOQxCoPl~OxAJf)WE%b$7)Rk@PL|gWc)l4Hf7h!K!uAslj7yK711A#LE)ZTEPb8C3} z-ppnurAd#R-tTj+7GE9oQ-0%L>23^l@6=b>EGExB*^>uS6c`j~|Go$v`(tzB%AZRD< zn*#*tx+h-r78X}xm>_#;i z9(i=2TQ*gDSfzCfI0>;%;Pfg0AoSSzZEIR?q5{W}hhffXoY$LcjC|ULx2D1Mxu2$q zh3lTc(#NBBB$=#uA2k=)2Z=LJHf1x+%A5CL z_U3!oDl^&AuyGQUpnOXAioxvvC~AR32d&EyitTblWx~}bY5Q{K@AfzykL0uREd*qZW%2&#mqg-ONtz4P;Y8%5(ivF=? zVH~duMJ%DHxSFOG8+L|9cm`010~_GO=_Q_1*18SuGiM!+KZpFNocznyAT35~)6LF; zRuGwuU2tU`Vp2cKkB1^LqkVmx)6L;?qZo$z41+d0f(ot-nPmFe*Ox3HxDa=++^@9y z;I3Oh_|(}mCb4UpIu#7cka_o}n~|(+5~6=K$nrx5M(si9X)39^lehy+QOJ20`ZRvZ zeiEPX071CSk-WUDjz1rw_W=%Q=XL+{JCt>?bAR8W zwDddw<$Ymr-I{i~Wf=eEOTz&OSGg^J-_PHr@49oSEkS(P&8Cg4ga`=&3=q)zL{>XP zHbit4jZpe?bdUND_jtc zr;zqkD6jTkHzSKTgPo?EzdG7yH;dp>F6sn!w<)CY_w@nN=)@s{(G6yz9uh;_b>d1U zDB%A=w%Y5N3n}@Q0<2Hql}U^2LV<-#rGI3~$b1>9a*HRs!G9-SO~(8~yc%^gj$xf> zb`egzqK!x9YM@$d|7hy~Bb~}s2+-!Ww7|^qjbc{Udv|699s9RK8Fkf+{En5~jH`+@7qKW&SSXYdBWB^C={vMD z_@ESdrwQ%ol=J(y_si=Y0~nf-R)TVbUdabh-YgkT z4pP-XbDG%V#!sPs#e&!%0y%6P-mmf}8c)Feu zzRXt`feVWq$&}T1YZ}}tUSxVM&RPlhpS$ERLMYL!O86E)ML7t zcX#}<$4w(f>E4sgFv(I*XF_XWSv=c2dnR|MK`adOIpNc!yF$ZHdB(yfIJ|DrcBiAR zK-r~e*-N0)A=zzu603@s$2!3k!nL70 zkDAzhCD6gjQ%_qc!t*0jk^8Uqj4B5H9K|_=AA7bpx)c0E7ych3yOL`zbdElCd^oEf zmNQ*2AInKEyymeNi5LMXa%DGsR6wy;(P5S=YpW9(U4#oUx1pW3mGq@W%t@^9>0oLz zOR$?zUlh;d9m84)mf`Hms=DQSqYpSchSjcuB;Jzy|~5VCTOz&GXcw&>kFnna)Xv= zj4pO;yoEGsP81K62HzLrY7ajlqL60l6~uUg-U4n*e${5QFAIqkieVzG&Gl;o_p@61 zSlp1m`MR9IfryY0K~5%HgXc@1PC}K?0P{jB8Smi7|9Sy{4ICqypU^6>{Bf zV~Pn}zreM71p3t!3N#9xro zS$Zv9-t{Wby)GP#L4lFi%?Lhg#uq9R3PIVAWK#DsqlWAo3+cXQd5Z#QI<~K;2Ky(# z#9GsoX&y$aWT%5(-JOPi2^uVEVdrCcQsik|!;}W2>+s3x$5I%S0FNj~i|B@e_+i`K zh5e0@5gH5O-$WmCay@ygA3xGzGZqyE@nT5TelkUTDQO4Qn>>k0#(w7GVIPsZo_7_W zZ}=i4hu;^V)0O0~Et$g!Eiql3=mc@jA$yA9&qOrPKl!??W(i&0vqjO8l=Pn6$OA;Z+faO*0$eb41!ddd_L zU@3y)Q@W2d?vC@_1CmI;#I|zna*u#+l8TXH$ey1rU0&Yu_>oDo#Q36`bCFH3Y)UqSu)MX@+5VKHrfyl`=YCTS+f3Z$5CXcO|m1(u`)eP{STU zttPVg5VYPuf9Vhrob{Q%O8l5)JnN@h^W4ff_4#Qtw=nhjT9h~mr~yG=i#bA~uOZXZ z6|rn4rUOj}W#5dgJB=jFhvHiZ9fZS9&GFU0Ua@!?EEr+p!wG!cC&9(D z-!Ir{R<-#RfIahiR%OqQ-o6>j76-l1Lf{r=-l>S!q;w?=Q&?+DS!Q~ieIqS!9LU2V zSOmq;bM_im{z5J{I5a{|`3SWaR(G#(KNi zxl2sncRAf%#-mH$?K~tE_9;Q^haVWVMRiHD-wRBT+^h}T`XQ?1b44<7GK=;tzeP6s z7?OkG8enAosnr)dPNcX}UFy zj#VrsEc`iktU}y6pZ!@Gi!YHu+No+N~|%dM0`W%;b*T8?}tAoiUs@sxR>( zzYJXb!PNdh^$8N1@@-g(xy}#Xo8Ca+6Koujgd(2FpVGSDW?zUnFT*`YqXwEwh@BF+ zaTy=vpB;mO!c4~epQ@TBjX2(Zw()rCW(5%x`}36+czme}3!uCoVpR%Puii#**T^@Lfo-gP!|ZlxxjHhwDiyCAlgf z&9@*`rrMA_Zdf9%L>Lz9#~lMFP1%bsv39> z<`DC+p837uL+VDaG0I7*hP+ zHcLl#607cWJJlJ980OPpcOa@hTLo0doA#)r`$e4KH-|5Rn;)?G z?2YTOzTH4Q<48_SPCc|<{~~uBCqvvg448wQP6>`eku~KNQdvu^-CA|bbT*pv=**2O zVB3I7gox+6N^MMz{EV``DnPAZ)PagKJsSNGy)ql!6wR-GQpG^AZffTLwOQzCE^E!L z0%lpzQJ!ye1)GT{)(Jz~%Ax&~T1{Gb9(@Tx_6-zmWQz4l!}F=kufLGUR*6Q=Ku5BH zN^C~dna|-mHy?SNPoZdo#nHQ!OGRw+H$IzzR=Vv)$&u?;roouQ%QWkDUFTB=#nbM-?U|M+mBBMEA z)6AO!^$2PxP#b^XRkHD8!nM;4U@#nE6|5pLGv_pv)SbRB7Q`N% zA|F3n3v3!uf8@Fn#cMxsdiO^@0@D>BeI-&N00#w^Te$NT;ZL)jaq%;mu$ zOQ6WFr;&fV0ZA<`IvXKnb_*Q9Qv#${xYd7Bd$0Z(m%nG zk#ByTH-l`%FMWUhd}KP9^iso;oG)g>*3mgXptVg=Xe~3r?Y`@kV3JwowbKQO1Q(qOp;~-x4*rii(){u5 zt=&2T?V{e*CY|S~L7)5QnJKn|&Ys+FD{MY1nH-Fw`SWP`H)2rBIyMDfMK^N!5%pRJ z$E21Xc40>0mFVkZg0Yg~DTAC>RcxEx>`Ehtod=APRte#J4>J>ohs*uD@>t%ol-=iP z3VvJd4-YFh;%SwY;?!pDrFcpek7TD=2dDEXX4kwg6ZogUyPtcZ4=KTa`Ri=yIazX0 zDs!SpzGO)s`(6=tgBP*d<%!S~Yb6m&Sk=x-{W|h~B)8@?_?p)AYKk%QOirkCI zJ-MIB;DDko=0?`lY3itSBfyXXrr00%AQ~Mpi#K*$iFK}XBAF_fdI%j2R1ufFY z02g1MKA%*rTGUHKnblF-CiY~(0lc-lb6Y^>ZFL5jz>@6Js7Kn@tNNJ(1s5JYU?5r8yR zaJYxEg6eoz{w+dl3%+!Mtm2hQMT?g}miRPT<}cA@W#e>&GKkf6wjl8J74 ztp5WB!m~p^uX{d44#BE5kOAvlpRxM;_I3JN-dc^IM4fhNNgwcW8}wy&3(T?TJ2cOo zz?#PADa|~}@zNs>M2)WlLMq%9nDaUCXs=!_}89|s(eN|fj~1bY8m*ccl-WR@En6YLqc6w^w()9lN&7gt-%g!P3pa@)uMzM%Sg|=-H8@aS{<6)gOv|MWu5&V{ z6X4FqB64gtrY5Z((}ZSV8`Kb{i;j+93XVP{N!s*K2#!N6_Md_lA(jGD3{et5&jT1; zlu-XEs*vWO6y{Niloa#%QsA;n=KKKN zBpkBa+A+#C=d)k*oH-qbA=Z68*9o}Sp1rCG(-Vi)we zE)CjqoZ(oZ^3|8x-z8^+2(OrVA5jI;23e(G4^bt86Jj1?Y>F-fx)eOmY+IDx%~=63 zYgVlLK4u#2n%{MTOMY$k%!}GC`+_uO`t43z=!U4!m(Zbb&nQ+;do!;aN-WaRqTWI^ zV|s!z_y5&bQdi_>BKj-e$hr@4QzERv!}Y%V^-u{5e%IHVf?=(qEAHIl?-nK`c&Tp7 z=kK;BG!EXx?;FZ0hOwXUe9ZZF!0=od=O@bDPhPOqi}u%tUsdxK5OfY_T7)v1nLZ2%4iJ$M|sS zQ~!W^^BpTuKYuWN3HfZ2Gy7R3dBxqK?Pz+P^GwT-_UqNv$uM%&4H)m9(Otp&)SHb#zk(R?6?g@eZnI(U$qhqA~KwMxn!Z;F~VHJ8*q@}p@g^`=+8 z=)j$j7RGGGQ-Bl=%TkWAdcrb<-f}7L6k7ez941fs@-AkUo|P05@(T3Q*QaYFxkZwA z2EIGJaG8!2Pr>kr6=D;!^e-GH8^p>rvRE8iBGt9&n6MlQBiUBlCG*8)M=mNf!fuTY zKJSp9=)lB6M63&#UBIog1K5KdL^2aKSEf?!)r_qWLyYmbDAK!0N7x8^%BqU;oh!!n zapM(IjVEZoYZ*Sn?0#f<+7$Lrpq(DZNv(l1REEeP^Ds=AXK4UCI!Eco#!st6kauOL z%Wk%m!HAtgcXhBfJKa>+N~z^Q=NZs-r*a{(`TVh(0!eGx9HwRlayt{YPc#JYfgt?>WeYHFL<9Z++E9J^Z3PwCfho!f z{e6+b~mV8FELDSgb;SXsTgrqh`18xx5G|-G{GF{Xjc5R6`AmPEd&u9g`OLz17 z->|!yyI{(-QyUPl%bsE!XzE(z1pP3UzxfmN6dQZtqYcN_ZP_R&aT^pkxd zwFKOL`BW0pST9;`;eClQLQodgHx7;1;Q`16M$?ascVa?6z(o?+eJ1#)CEe5}RbcXj zMR(2IsgzRDdPXc~Kje2o`la**msUdEknnZRjL2l+&#+v_5@9;0M%kyog-RY3+yQL{+tTOuaV$4_syG8+Q$}pR;&L()=`d= zK4FXglMbSWM;?Jm%8Dqss--pKVUi{%t#Kv*mOn>qVwCtf^@u#R_4wtrm;6y-{sE;O z@2(l5+NY+!blL9QRgcHdfBkWlvH!!W+t}efb0OD!)O5ujzU-1NDvREi2SY08VL`$` zW{~T0cvk3@7Twj`DoEuUetEK^6mj(g8vC3bwVFf}n)d07qB1xp8=3yFH?)oLPGDI5 zm5}JNORnn3xK^D0)XR0B@72>y=I88iyB&K)f(xBnfsIZd^}BddLj0%AA!I%Bxjf8q zP%K8achu;{AV6`QGj}9vsERHp3+KD&;M#J!t@`+)v&ojL5BKDJ{*eC@=rGGK=mX(C}FOarN_m5a2bzRGwo$ z(llP!FUOzFW?5$68@|+oa`7Hm6LzwK>{e$LqTF#ue##4To|{nudGVjuJOUX-yVLSr z)I7EiZr>1uPyRPy=lKm)skMXtW{!`~YE%1xfl&TG8C&BopWrv{wv4-nd&d1*0!P)n z5t5Oi7+3p#VbT*)={KK{GsxiDt*WIqsTNr!Uc;7EF`3D_nAe%8R*S5JIn?zn6x;7x$$ep5r_7X*; zc?Y&<L%y#4bxT13=-MA|gw9q_Z8cSvzha?O2<_;q7=Log3Um$Mm zy*0eO4jVxxVN|Uy{N%3dA!b=$4e|~mQ;t)EAT~(99b%U}fOhvI5~(B7T@GWURp3?DxZl+cmWF61FuV$FZe90UJQyPdyb={AFa>cv z+;7)eUc3o!HV*10FUqU+yv-pv2|wWMTs!fpvb;3WgXF_hjBey;8#YpO<8We!iJsph zH^z^|FSW7gc9ZG2{5OAmI)JeLfqSd?kZ?LrofqxoLKxbSqBj7Z+p_#8KwF2$|8&gq zRBhiQ!Sd{bIhiMZF+oOYKjrf033it1JeP3qGu*lPWqAXJ_Fuoharj)^JeF17{Zc&2 zPlVAbp|~(KEP|g3p9WiV-t#cKnaoz98t(4ITW_g8`Cz)Y01&l)c0RDxGE=)F?|sl0 zhANV-__l^JH1pL_%s37HnE=^S5Q1Mi2XRo%>0$42@A>`y>DnmeT&^A`Ncql_;w*c2 zoLy|fMm;y<>(+;-!y#8Rq?nz98IydCsBGzMr0<+w^qy(~yX_39BUkpW3?vCVFS8&H zji?KG!U28G4t|?>A3G01E{qSOS8uNJz)8R_Qx6Oh6WW!zcq_h5n!=nO=ZCxA4%htG zPPml8lVlr*Ls#~h*G1!d3Q^f7iOFKfUk)+6)&AE6@SRiR6x#Zo+y;y7~$#+wN(C^7(pv{;^yNOhzd2}-K)4v+m>~UWMq`;ZW6e2lP#9SVXQ@0==w@9B}p=tJo$z!nj;-V|DHF*k*J(P~N zGm*19y>wYb?aJ(`W~9Ya4AWKzs3m-7B;lj97%jRBpz<*hv}}u?47&ZL!aeMt84C;Ta1L@77P?SeAb-BhAj0Tyg$oXPSN@ zx~K_)m(_Afa4elJ2o%=>QCWBvngv`INHT`3u7+>yOHF&k39x2=K^doj6}gcF|0^E+ zx!m&_?=E?7FXO*BnG8-_5p)p(&aB+OYbs6`oEs-PHb!x*+pT!VOv3527r$Cn)KcO} z&X7_T+mhp?bD+4&kmNB*%F^XA!Caik^QfcLJF__{CMjiym0Hw*DzqpE=UrFRYlXXY z(e^x|p4zdxD=h0mxZwiLCs%?`vqs$=eDjvOJJ9e(x;yY)XDHmL>a5rr)=6Sz8M%uy zX3ASw{Ep~QJ3td%pv9^&Z7P~aPocOGZ%LyhKKJlt`37+HjR*dwO)%40M-R*IhfPxq zZ+>1?51@?``=f_6!slQ6@m^I=pbd*Zd9V*(52ChPjL>s7YR5wklCC1W!v9|AhncZq(xow}hU-hI!UG<9 zevO?}nLxy$B+h$Ml&f4r)Pk@zbva|ScC8URoH_%; z8T3B|G^M zMawXIHqRvkv;Ux;5g8Qt#6dSUYBo))oLdsuUf`Z?D zC53fZWe9#juMhjq4tq=H=+_8$tCB0$QzjsfVb1ysPDCM>MiPY$M@EN-3s6MZLLIyK0b9gUp@$22{7nDg^@T-3X_OmHJ9)@n>L1GHf4V*sdv(rlFnH702U=ui>7F2LYaL)L za;-Eka1J$0$W%J2xmPGBTV&4biu!-5(<14j>)(wyaX6afq%+RUpnoR<^^bu6%a3Ku zj^m!iVOr#_79lnG*<27Fw9ZJBBquAWTkIHKRjH6}_Y9IdmPCdrskD6RSXi*lmtEes z=1-eTAE{*~jwF-SN{tB$B(IPWgOHAA*V&$9@A#3fa;THuZWBN9YFCmrTWaY~y^=E` z)-4sUW|XT?C`qF|bvRX^`fh4zIz#Qv%FJq($bX$EtF;#`24r+C&f*K8x?Zj_cE6Vx zc{rBTFqb`7c%@@tF zS7<%mtlSTU?v z!|j>6ST->KXpcgS@K#Be6U2C{y{arXqx!K9PGqx8C`FZsT#h=RB%GDiu3U=RSQBbn z@ITQYsECJMw4a1SQgRFPcS-$liR?mPnZ3>u*J5HtokB5<_Caf{VHiP}Ty%Wg@I>u+A@O(+eLN4pT*I0srOyL>6G3hb$#O*HJtuM77QLMcr){eq z7X5upV(Eg~_)EfhHlQzomI>_#Cw1ASQDh2bP2UkS+mN*C=EAAYr}OAXKdA9qC2!L40c zE4_wa@?#OiNY0fH%*I3L+hpi=3X`ssMqNsb+UJ;c&2bnSB9gTRr2n~0VgOqyk4j^! z)d!b>SpyCdE$=e>cQG@0)?h5RJ_Li3$^c5Lbbum=K`KncH;zOtrvST(QuE-j0b}N( zRY*yj?hW$>qxIX^P7AhGEkYudCP8K#*$*nJeM#9|B_&?ono`6{cf}SI;;m)~!|C#onQmj*2e=w~`d^OY)Jn72x+Y!9zD9d(!!Y^IPJe_%8TQ-5?s z$lCx0nX$iEPg2uSlH{*XKwVdjlqebltwKX9T!o(W(_?6-S)w6DBf11k$ZWmAE1ZU) z)SsYqg`kv$aHv1|I_$ZS2=tE0o^VI=s)m?%*i`);ClDCbxNB;){l5Z38I78g1&YMq zfAB&!uFQErou6jADCqvs`SiurL@dN2^xlmz8_P@ zNl3%J=6=RAQ$cG=mEsg&=TK1v*+@~pEC7_LL{AtaJsfRYttIsV@(i{6#2Q49>f5Lz zy40v6CQ!hzBkn&)@DGywgX91v4zCkoZXG(GxjRlrc_nXBM~09D4)RDcRW%4Gk*)>i59$#re|5>NyU~wNXc6Q(%iy3Vh$V8JV?NNz z%T-ggZ0j#V@3qAOKzQ%~gbm;G1e6pnGL1dVXbXBs~OpaPm^Us zaNe`i1uJ=%O^mXwba399q%*Hza`Dy|6nqgwNB3T@seuwVi`f5UyaFVAxk@G>87E9& z{i}180uqYrtq-v->Hx992KCucnkGq$cGvad>uf$k^O>_ZmG@aJKH&E35q|HqjiQy8 zlFEVX&C&W}^Vea|bn6RHE3UT|!)$38*~Ag~+Pb`BL!aX+P|l5WIB*xgcggR+g;Io* zjBil0|1H$f;BQfc%Axhg+4=vw3q@{iB~?ov9+;*wI)`Uo;@~=mWnSWOQ47jS%r!AG zcgIvqnL(=#@|NLILwg$QOXj83uyl7> zJF+1_tOQTBwC=}Hh`03q8(Bym-dD4HNi&@No7T!@kI9&gqpmk`c;EQc+NgFonSgd~ zlc%KHb;iHWPB;F)IEVUFsip^IPjjWeE=*2T`KS@OxZ7RLf1@0lpQ}M0sB1~L%|BwN zCZbneY4l&jeKlr#95=sApWpmi_FvWip>VyFMhmVw$c_k82}hJIW&N49Xy0g5%X$T@ zKzYbUGYS59>~M#wgeT(JmhMI=*Gc?r5tUj8&OuSSrq8%iqPDgoA*<-7lPxGNb;L!Scy2nu`Y|)prBAhLmL#?Mr!6z3!?Fw0-eF2rif2fun-1+x*o)8+?fo=yR zDV8WYgFGM-{aL>wo6Mjn*zVSngNx{73-Sx2&(5y z8ZSW;*xUH2uunbs;Ea?O;m;h7$G=ErgO`vBY7>yXZt|iAAMaT>@ixnBd zT8+SDFl$0@T!a1H(kM-@R;nGx_;naR%&vZ$ra->(&*ld+v)y&BxnF75V@nJ zl99)r3XUG9>cHadBYEIT;G$e6J4>Ec27bJD;c}aZtwh7gkieO113)U6nnFx>_=^akvE7pio_A1xdLds2ss(n6LCr zHHPK_yATjXhAZUe2eQMBvU5?{aaPh5JCahsPyeC#7k4!NiR%Lx=FeP#2N-4?35W)o zvZa%tln6?Sf{w$yyBsPWGeV2ev^#e{9BMqOntda~+9{!-Xw#vN^h_1cICeH(3VyZ- zp~PXW5Cg_&KrmIaS}o0VJSylA^_Z$m;FdaZRyAi-s9S?(DzFS@z8qYq+3oi@_0+rq z@|Y%6EADpAm}6S)f_j?RNBJ~J$3+vo1fX?|1&?sc1frXMDj4G>NOqn$xBn4G8fo9# za8TGE&w`*Fq3r00@@HNIM)mC>AAnc@FBOsrWdu~m2ygO;S#IAU6vD2x50+DBJ0yet zhk{%(ir09I6xN_>y4RJGs)~muMyOv+KQfR%>LE1kiqi<*ih58gnyVghl3``pM ze|Z*rxvv)W*Xd9HtWEa5p8ixI2kqp@B&t2d2lv=&?a33s^_nGia!}g80rLpsGoVKC zYENYqoWN|r+-3++EvMUQ?N!|mWT;h~<;o^iX2tcR78w3SqteWfkeaZnU9kSA?3qap z%DUITjZ$3R1C;WHIe;$R0A%MT_U6hct}7?Tj61CVWt;D(LXlKBQ}E2+c^@ztEWypj z?)Ya)_;)gB(4J?QnLj9)%JyGpbvrp@cffaDW8= zOfz7BsfW>NkD2NpyUYM!cS>57XLejjBjufG$b@^s)_3vCg6X%;^sQ z-1!Bl{=FA)JwDF)X%R1hQ%0}Dlh*q9<>_D&gw=TP^PM^BizjY61ltTw91?T$&>=~D z_#m#!r6& z?G}2HGd7&uC5pPkL_Yi$kM!LU1;kbWp^x9kwC=~@*g+(BqKjffGB<^;q!Z&zKX-XuDcTgalzlc_SyPjh3zjP}C1Sp2>gZLTKV)Gp_%$`wgr*j5~5 zer#KF9~e#qj)pN|D(H-)UF6@De`F54xkGlW<7@1GI-RZD`6SMT#baO1k-bcRa+%YxDGqS_s4Juc(s5bv`w&%z(p858X&Vs)R&~ya(38xuWXBEpAdWB=75Fyw}hqHT?4gf;9(=EyoU#TqZt`&!8uyZB?Jo4-|xg$H@%$R)GBCr|$O`dmX_N=0=@;qp=baOOFe9nd1~K*nxNFib8A zW8qJ+9y|lq*&W*Oxil_XYy9ch{^-{v^KaP518*4?7qjK{Fi+~?2)*0iIN(>;Uyt^V zu01?mO9_4%Y-CR?Qc#a-)l^`Je6|9cUe^|APQXNolADi#`RKg>PJ(9xrjee!NZ6VE z=ib5d`H`6b_1VkIWJ=2#%sT_ezsd9c>2)iY!Mm+}ot~dx|AvOrQY85zx{AN53b1k; zN%^Dt%4qDup+}xdBmxQ!6{21!0HhRhIScpmhP_$N&{n5^vo);S5yRiYzq_G(b6MZk zim{nJhOb35K;GKdeHT^Nm2Cgy>FVAiH`<5w9gQvaf!|v<4!*{E`)%j->co>Owqx-u zy6GYu^m+<{?L}!@Zwk8&T-D0#UMSG<8(_luyJkV zGJCo5@Q{4a6n8}z`Ca+P>Rbvtn=$vchh-$kSGH{p5Ve5znN8E+gHgG#?4{GYi&XN` z0Pyz5sbhJ}!|mKMYE+gZ;JZ_G<=Mz8nJDO%fx+9e8_HUR`*JQ=B(cWjcK=NN~v z7~t+(=bLUExgHi*R+wK2kI;o7R+A>aXLQeI%g@D_;%U0=o{X!lHX*lE+9*B&CXpP6 zch26LEX<4eIBvUYVB~mh&wU38T#kL6c`rL)_%vQ%Nk5#xp+^{kLy@KmbW4y=wS*H` z?RdPro8UGJY9@d0uDS&G>j4_$@x%fagX^%{_^|haZj_}g|5k7g9R6d3`?&uB&DU-u zxCol5A6UGO({1!_8={2e@feg1eFO;5h_L>G!ad4_=by{SM;7^S=~EleVVDXG51pRuhl>SwxNC*Qm3p&*Lres{}3@r~s9bsP)Rz8#TV(q1giXnOxSTK_aA z!3-Y6+p#tH36=lN!=Wm@Vo)OF4<*MoL-h0ev)$b}G`MgF@ZMnM?g-?ZnBD?&;A9qe zssp7aghrG!t^J|Ak^BR#rqPKHm8qCqG4HokOtotNyKLC}tY2ddHY&d}34#_Rp|>=fv#f%1$E>3o3D(-^OI=bnrxM{kyx=e!2ynA!Kq z_65Rd@A>w2=Zsrq;^;2Hzl%a=WXi8956k*owHitx0?eQX*}MDf?B3zY(cSwO@1Ix1 zNC+sH>2`rFY$XL0vXAI}&)|Vc`9|V=e?|s#w8yY-VFNrpf9Yho@Xm5&HZ49Nf2YRp zlMHM@miKfy?G+{*xOel^#2tsxPBbF>7Yltkt`#x~q1q{nUPb z&u`bRiJh6?;PWG5sb?uCnY6*=7kUEq~uRu5!wf?yYh0AbfcR ziOD7l`Q8+inH^lT;rZ+HS1BSU`G{PrH+&vMR8Qk`Ke^rl1vooO!n=PZ`H+(tC(iJI zHALyTxlnHJ*}>^~eH*c@c1B`N4NI58{QB9^g^AyAN_$la=5!S&@m95l!|YB)`_L7E zD^^!&$LKA=BXS}SC~%m62R0GWzBC_bT?#C&n-cfr{=PulyN}~0e~V;mCxfZ@D#4Sd zP34pm9ziT_VlckGp@XIDuOxUQ<%D>)d$`!4iby|S%=PP55=kRUT__z&n8F)YTJqcY zN>2!Xoc0ek*=0Pjn7BbS!C`@d63F}d`qDXTn%A*EI@F-Wp3ReA%EQKPzp-)`pQU6J z6ZytWR!4q8SV=dNAH&4Glzd181wYxn!`h;%A`{ZYU zWD5`f@)e$s#IR)@`o9B2yvE^fYmDkU*Oo%pnnKrc9T8{FZWF?xluxyVd~nW?kY5L1 z&wjf4JaLK|$GFQUzHA#Dn7?2^Q;6IisD3Z77owiIcfOd$$c$%8`bq+$n~H+Zrxmkh zz%R&kzLx=Ew-h&4x%+f|%$My@LVV|2QbIhqQPnm|+*qM?O3Hpe%3yfhjc>@?gKwAy zwrSlRYu90CoNO>{R<`hGjHNDSGm{_as`@y#R(D4q*gZm+%F9#wJX9jVI6CgxZnw#i zNA|^phL*x$tnb)-A=u477WVhow*zvQd&66a93xtZ9k3H9YlnJSzP8TTm)>w=A;l!V z$(A!`jU$v98xqsqfjmxp5>himfU)_I0%N-A28PHt9E_W37_&DhF*2>OLSA&UgFEK} zH-NsHzcqW&#PRyMePxKJ&F&gINqQAU3$ng-8@A_~Kcj25Ge1GD$@ zSg-{~)dCKq6S-nXE^)okyDyfj&HQoYCPXuWkuQ~Iv<&y#8$>&gL(2l(3MOBxPc&|) z-?;ku*TVySWFpWqoaZ_|Z zN{x>n-E$Aw`?QY;C1v(4dVw*{y+2oNH8{U4EQwj~@%;F)NX*wkXCqq!%a-y$15R7U%h9n$!xgjrDQ^N04cFE4Z?2O=f zN&S{@*2{_ybpwM4N`R4TfYtix>Uy7fQ?YYw&!_LOo76SZ52u3yF6vj^`g;rl(#(Uk zUfQ^1Zyl!Yy6|<+74POH9ok^=TrVA~%k?CH_3gnBt^MlC@%~DJblr`5)lnA%_w1UYUu&8ciOyHv8<&j+a}W_O;0Zh8 z^R5WAHrFORH1`o3Ef=la8svSb@~*1JR^%?jR0$p2&34sdpo6XwdNiP5#0m}f4hRhF z_O4O_gJ&C!o#lOdW5JIR^K#rnd-9}=vy@*L=12%L=w^N&`C(i+qQbG#z-_46zw)Ac zcJJmSkpbIpU$fRE{Bw$Xk!~6uMY3FTKMj)=%)dbD-=6Tz!SV$ez73dq+If&DEh!Y3 z#aZ{Oc=XGOr3$Jp>(Q|H;}%washXFSj3MRFIUF!rtPD$5$cXG?aCXUe0`FXL_?Fe& zF=;c1l|>^}p?Cl3!F90>-O(`K8(G*zyaHIsCSvUO2t3k`Xnz_g3e!sw7~NlUs#;lA zBjg$QsD9JzP2_4y-&bhO?auUoaxFHxl>k~E?K--ZS8o>E18!Gb?LRVDzw6N+ld^oW zqrV=g&)%Pwrvh^kubK+^ZLcRhi(Or$=|xIKK#O|FV6pQ$=xU zwDx^ce9j<+(_=3QmApzTaV+tCXn1Gqq{95&=qGCTzrXk$D_G{PN3E{nggq<@4RWzA zF1qoyfi_r(UfPcFyS;?D{==Zjibv+#E>7rgb6j_Q=BTY%KWO)bfaYk1+ZQrf@`x70e za(}JTBS_?pHieUcR6wPEp02Pba_^@okuF7+!vUs>vfcO-n&|uY?w7{%D#hGqU?(w6 zR7Mlyl<_p0^X5ABjC%?%f4ROVYQi5Hia(2Fl%qaQCvH!G~XNmrlbR>}Lt zzg;QhTa%TgyrZAET=v9C@GQBOLz`pe^s$w_zQ@yyF_@0_y0?A0oX$Xz!=l9fFOvrk zujmD&y%O;_o1kV-x2Pu__D@=d7R9+JO}4FlzXw0+_DP`?G-emX6Qqhvd4p-qXTi>* z|Bn69smcon@>}g#e_mP zVN+CxIqgwUMr)Ep0|JpNf_XQgJcapP7MAU8-wHjdo;2j|5jDR2PN>kQf~8O8u&t!> z%FpuFyUnyanhtN|;)_K2S0YjvhXeIPUwP#Z_dm$g12c>CS6=ZI)Kf1WiVLM~?R+fN z%X>FM%}*IRptoz!ft6pfIH2eLgWiC`Xn6>_z*_Yw3oa zZ6aL$-rsmeL%!eJxIg`5Dv(R7Cxywv9jq>>JwC0A>pMwY7?Sak(X;mB$J964-^Exr zG|OTRpz6hHx5ux;m!U58?=ujINAAWMhH*v?%eEE|r%UG-ex&FBVi{TCFMOIZ`EFv_ zEAQk3-71BqpCeV4$ql-lcb^5OS)_s`%%#m=HwWR+G04ldw?{qr&T3`VCMcI!!T%%e zW(O)PHtt&L_Kgmd0SIBSJ>N_cD||zSo}Xe)>}Q9sO8e$QuFJOLg|J$=-=Sl?`Rn90 zt5tZ2u9<8*wKbeg&Vg);22Z|5J))15%b8CYgb9PD< zkSH|_*XINn8UmQD_c;K=GL<96(C)2awUWOF>fGwR=J{2>)OCi3#Y)q%fJcI;=+Vk#2hSb zTIw>awO#K($-MruM1oHu05uw9wfgx3ht32OJ;YL4!}XU6NOEiJBJk);$d?;gDIkjC zFyC9<6(zFm)o*V(8r$ZxT5;8A-+p=zTXgK1)FP!L(#nXZ6=$CC@d|t7wXw#@^4X;^pnUM~Qi_3)p zcyK*J0C><{L!oH5yD8ytWP5v}sxJi`&IJOpeQ}-#g_3A>xPKn9e%y!L&u$#VPJ&rs z%OEQ1QcH*ULqxuiLZSE~!&KaTjvYkUeEDjU}$7cqcrS$9xd59-28XaLtYG|-5Pbwx%zi zH0XMO%+Xo`EuRyT#OrqAx1?5mWIK458WdU+fy-dh)0;wow>gk+q76Q5hFl#{6JyHK zkf8k#i__2IH8Gf*Rqx=JhCB*|;YI?5(%@=`$;g)8(Xy|DS-D%l-@~jbK@0kRT>wQ6 z4U6lM>5sb1b*sLrHk60~T?X4Je?)~@cwE`8M8NmQhzchM#l{h)4eU7bxE0**&Dp5J-v*T+6AI zusGsQWI^}>?D;1*z7h-3S2z`nYUzd~SjBq0@Z2h`2+SIWa3lvN3~?U=|04wkb&-AW zIj`79qy{&LI&T!P5i$$2dcjT%h4N}3DyR_tCM|r(b^}k1UswJ74eh~Sp}kiuA8R#Y zr$l|q%%F$E-^F|=Dufb3tKAq1Enn$@ORWmRm9RMV>GsJ7qh^Q-hiKl&$Eu)NZj6`P zCW1=v45UHlC6VnTZ38KfZlX|6Vh&0{H`xH))(9{HM1}q^%u3Kv;IdtfEj{->tIhV@ z40MdHHUg$@E6NT9{GVc|U`3$w7#GOh^G z5Z#Wy_I!bW9cWoH3kwhFGNopOll&0s1V<7GNUCE=Q4}?5E*zb6Tg1jnHWE1!nQImnuKxB^_%Q<0<+cb4FJm+yT@Ofzs3y0y zP+ihY|Je%2Yrk1D(#FkD(vhG~_~_vT(S(){wfLSBcx>b;Pl$wvtLyk<{v^Cg`yl5l zuivjcZ?nDTXHteYxk|cQbFNwN+25ljqNjL$>^cKGdy7G=oC8^=3J)*C3WvlpV_Xlx z>4w%`A*n{!x*9#45WT8~LBy##Uf!F1I}6ht#erl@d49^NB^MB1|2w_UcikD2kL z%u`}?87em#(pbl8QpFz!|EIdK+PJ#+xIS#mxj3n@5 z!vl%LTYwEB-T3YUpnL~bXc!n>)VU#{y0>YnwT$0<3YmyQ!d_sYEzl>%1Q=IztE7Wz zqQ`;d38L;yCYBl*1`t~?sXh%4kGn%c0_A<=ilFMhqvndx&w3%|Kg~=|&j?q{*$t~@ zxH=J1n9WyQhzFT?n^)>U5C@7jsZ>IH{&E)9^&Et-S=fsj{=;EU8b-KrUYEtBjw^yL zy80d6Jm@g09!119ny!eukE_^G1SHVhJV{4aM05iV#3W@|iGmTXe^&(6oenYvl}j;X z`d>5@^h0Ci)X#0PNMwr89#!$>HJ^pC$%7X7icERPl(HT4`*b_tEWr2pnA{ppgiFW3 z_E0-KHjcaxAI-$<0Fwgr;Hhc*3sx(Wq{|;SUBH-xsiC?9fsY20lwZt8Fh>FAh5?9K zQyX1ySS&G?b0oe1R4!8tM8)vQ0%U@*)iDvox|V+{R_=5uYP#&TU=GvoCpdHyfFR-0 znN)w=(j_Ff3YBZaC?lIIxqAJyN^Y_d97wEKv0JP(hDDO1sTd_q{nrpMR_FZ4N&`lf(8subYk9@Iexr(;4a?!4 zAD#~p0g&K@KYfDCxi(iqdPqF-p0M8)$1mg|e+TL|5U-XoS+VZ5!owRb2_oPD=usua z;ffbRH?%trryS57PxZu#Iv(y0)FZEIH9nsN=BXHZ@Ie~ODoyrE9gA+ggAn~>nXb?$ z@`*IF5%kAc13U^Z(H+FP6-9wVXc-tP*9C_DD^~vC8yB>oIFB%(UVu~Jg((rCs~kRB z_=VUSb=mT()8Vn}fKX&D&Ao^Zk9AW030s27wm-f4ln0kg3#5QW@;lBTv7$nv14RMI z8!eV#DXY~x44=d27-$Oi7w7s1Rf{(l5^`$^H1ah-ZEnv>fOs*q2Hv1}o62Zu2rxmw zXh?tobb-1!9e#z|XbJ@aE=bD7YUAB1V1!i)sh?{L0Y#*6dLY;Vst;^z zh7HhT9e>z&RRNMEr|3TdI*m7A`P>6>po(uFC03-}O}lJNo(i#J3y!VD7tJ3PCcq`E zisy}trVEedaaiWkkVRV#vh7Mw0clK)jk|OEXW(_K2Fc))b8z}07@=@+;lc+Q!jgs&GHA+zbj1qLM zLFWI6618}M5-30k;G8_%sDFc!I)IYmKPUl=G|?Tz`UjLST%v>lpd_wGoL3kHPy)Y1 z2|Cw5D7kETfRea%KurKj^5etf{z3`zKTyK(7fKKSB`AOr_$5kU{~IVl0F;0_1C*dH zQ38th7fKKSCEyBZ00#O$K*_)*O5gw`;41w=2?Ib0>JLgl(Ev&anrU79n6>jd^%z z=0zSB4nQJt$%u&R6mAAfLng#p%dm|_9}EjJ>1wpbp2XkSE?rSBGl7XExRrw84=2j( z84s%$Pj$%ef&$z&yd`QfhD;MM6=DX@R1S=nfU9MO0ty!O6HHgAQ{@3{k9iiwE`ox! zPxe1qq~ZOc@8*h-ZMESAXF?v++qfd;zJj4r5h7#-SerW_h+tS`{$J+HWs<;rQ9zq7 zXzB*cm%YAO*roY`Pd^n)E%|5tPkZT&w|`Ul$vl2LH* zFKrv0wEx<+f&8;=!wA1D*+1GgoM_ty32Yk@v~2_VH?~a~ux(=h*ft_)+lJ&HZJSvb z+O`2~3~*oH$ay>nux$Wk1GWviJ^t7>=rRD?#uZ@(C>5}6S|7V2{<3XE{u0NV!C8Q3g49>^Cc02_E;pskpwYNJ^-|qtcS&abakBtc+XC?hSxE_HIjw3GJ zWAT&arPlY%e6hhkUk&(YnY@yF)-VyD@#q+2dO@r_Ru!^aQTw=rJb(xB#;yb1jT-RV z&8kVjJ+uHv!lwt65%AuJsno)WKwtyHO{qU1td5Blp06V{#YhCIdE&yeCII`3u zBLh+(6qyw^1{52}TdJ_c3Jd&h1SmHaS|w|3Nc{(n_D3|Ud%}#7mkFr%(?n2*klign zNG%PgK=GPcIBD%cQnvFzR1!B!tdRY`>{XljQUpasD<)QiNp4|;Uv zYGPwt-TQJtpob{TNPeaOetWOVtcMjeqj8>~Q>{NpHaeeYNF%X=fNI?+JXU=YEwd>I zKL=HO3u-iCeR)Bv+I}rZrnP2h9*KQp~0X;6reJ^rpBmldGPOJlEDgbQ; zB0zNP`oZb?i82t#07pP;0nke=0MIs|1)$X^S~pJ3usA2h%O9DIK>C7+@O)!Im|ck0 zp1*kD#|lUj20jp2o_mVnkrn?&D`ds>muIuidgcKw2;;phkboj%^Y{q2v)TM={CY#G zXDLCn?8{McY~)|f+5++vkfXBGNF76&q);fJl9(>P#s*v^kanCMg(^@%)4U9fP5;^O zkJ)@!e}v?)baJ$&Eap9s@01Bf_WR8tk8%G`vx1TfZ2!n)XiZr&u+{|;q#yE>tsi?Y z252~;NNgoi400JPTZTzM7cTiE+M2;rGeLxB5n8+5aMedtlsE!*J{1HNdA6^FoeWB)(Fxrc)-ZINp@%7soW7x1r(6%9&;c-2RvM*V+$X2ghHReU3 zOlc>$P$+IPIDGnX9ngMq0RJEpvP9>~(8$Z<%=#JdQ$&T)Au}943Y0<#QWj4}INXFD z%tHX2wcv8b!EF@ks%xuDRajiy0w6W5FssjGuPi{}anT65Q~>@%Nd5yDcLE>>ip~Hv z>l&y7=F%MaZbQ_A;sr|U@b!bFdOE`6)V=EgWpv|Pfr-8<0G9tFVEM}e^U~ONP8WHsucm5v~D<^ zeFEy-uxN?y^14MX_zOquPSh9KZ4$%lgznCOQk4NWY0CI56sptdWsHRmnr@*3=w-eP z?&fCTRW(KI^^EO2pa&$`H>AsgW~@@M8&5n0$xMA zaSg~Tn3kiKS1!4l6sH4b1+wmvt8J}q)?lWRfw2+{*mhv31juHNwABi*=!0b^C3ttDvVnLKhe9c0#xnimx zi$F&MMab%pwP^ z8-h#BK5L=H%s!*o{~1_7gU*@$8(2t=lBRzNEWQ5*Sjc|{7Q_TyGLwGHr_hnT4T&h0gVFV4=$ZfW-(O z1soOt%M~=`U%(>y8(1QLfE5mag-mG$Y#I$Lz`y=2u)+bbke9$hUIHupZ(xN3V1)x< z0j2bR04xPGux4QZSR{V~O9Txpu(S|`s1f1!cZAX`Cfcue4K3`Dm}VD;Y; zxdhgnCg{Q3=zvf1H?RQHx&#)G{w{$fatSQ013of+XP!xnU##eFP&qkXBx?^(bA4+sJpVjY(dv%Z8F zTGz4au#o_|1QiIt+qDDa2IkqS8^Nt!XG^oknh%#lfkO-vIGZL_@xDeMHv`dxbyn{| zA`r_Ma2hqhSW7Z{w}aJcH&Oel(zSDUg-wG#x_*)XxvNvYtGY`u2m;Bd$f8hK2N5B6cedVA0{*1uy4+nmKKtW9m5&y>T?+*O_8h`u!&wBH}~Kk@tL zUHbh|!0!*Zo~aqMR`e-gS^qC|X->4>5tCk7pI_~}GxWHCb~dd#y)l?$cy9NruwUh& z3M8C!jkV%#cn@++>Zo<*E0W`&6WY;|Ewmn-QPJ&BqHZtI)`gt;+R5hq%=IK^yy)Pj zcY#pIWYQppo3aXaZC~O<1cQw8Lr07{-!jbk#g90p{5&aLN1Efcl)-^qYosF z@h+Sn4xf~|sAbkt>s_DZ;G3Q5x>*DxEQG8>sjUV{5)YSLvNttnB>Y(>{r#vd!=eO2 z3Nm=yUp<)4n4RifkXHFlczZYeTjOCPrfgxfDCyp>3LU$!3DNdVHz`r|k}kXjz2H=V zp03b|SCl>U3Z{NB3Ll=1bGw_tWNIs@$(&1ZGlP)5^q6b!_-7?DCHKWt-Vd8Wf!MZo;b}-w>PkI=IbJ zyiJP>`)AnuC3DFQcb<&A&+fu2$66rGZ^}s7ELu-KD%wbP^nzoOKEx!=3vqUQzA8!2 zAV&K&ie$vG$sHo@#fPH!T}kdw5GbC+{1NZRD!cT2+Iy*630eHWdFz$UdH9@8Yr|h~ zwjX<8`;b7*$szQ^V0F6yfym1CQCjt_M`LVS0qlJ_Hls^3O8Md;86?X^*tIK&>F-b+ zF#+lrrgh9`{#mj?8}Ipji*noT6^9{CUkLl51eoLPH)JM=aPb?AHUtr?wnSb>v9et@ zL|?f#_xST@&!{{$&2NN7Q`1jP#9e=-RrA99sJi1@pIq_1>u+`Y!y{ui?&jlrUbT!| znOHa-bS~D|xu{#L?_jC*cRim}qk*5b1|H;oi&SF4QZmgAhde%VRaL!)cs530wn5>= zn}#WV(jAiZ-WCIgl>p)Xg9v5hfv3=o!fF+A910(LjWd>M))hHVixBM!;Zen#v@`U# zX*CwX=3^}Q4T)OG55Co|aZX1{(C35U3*M@uoYTjrk~>71L;Lm93LH;Tpi}Ufj_Zog0?R>&N%{w3=79O^&-2n7>qAm)2pGV+y!6gH<-m z5V%}ifACY-&1dggBvZg`TQj*YYas^w;$#MJ=z!Okam`rOvc(s4@X73AoR#nxW{Euu zkz34r!UDK+Mg#lbvVM@M&AfSYa9_(k#+d4`OWVRQp7669(XsqQSihpnQicTL18nVR zGWVk>L9QZ}Y-wQ~EM^qHG#2pt%FCa=5-fMH7nFxu$^#lP63@?XG-s&} zy0B<29VRq}>>r(PuU5PhxIs15)*KkX7Wtqz!2Cy8L|y}*O_iUq2Qao zen|WDae^qfWRdTR+{|Q^%cI}=E?iohZkHREyFF52duL(KmxYbJZZ?jIR@pHeSx>hX zI?czm&GQ9*$h&deYx_>%x9u0WA+ES~zcba@NPn{{^ki#RT*yh@iuj?h6MH*-eua`B z@;x}WoqkVg`rcmFfTMe$og?x2qKwLHit9di?MG9|D{yV$!VvL*eeiD5)^p1t<5_C^ zK)cFOL8qD&v;F`gTd8r3nO7z8&ehu};sVzfB^x&mq)rv%pD&B&pLKw8h_j*AOJaz< zV&7U$5DyoThOQqh2A;yFfAuZ$NCg|^nEA{-tgIZw8bdxh`;kn&CfU&&(nZXpSN>t_ zRw7twhqK%IQ1D)U1`}fsb=U{e55s&|+M^B)PX}nf2Qg+b>(}={?cQ=e2hz(hU|gtLzXw3ROAr~!6yZlPo z8Sv!=Pj6`EBhu+wzqQZt!$aWh1CM_pPRhq^^J`(I?ReET@Y%SGlR7tJ*CnQ)&8C*a z%;|Hin-B-{r1j?|dS0)161`i3FQ^$t$Hj$PL{j~X=5Gr*I)ACq`Rw|BZ&Nfac07)^ zxA3)DE%7Vdd&%1KBBy~$=k1Nt%-oyKjo6*CjdDjBc*0PjA7S+&ho>$6S8fCWxzb_y zN3uWTlfhXq-ymYJ2)lt@cB4+~9p96pETSpl)PuJz?iZh%X;OKiUI+pUM>?{U_Zj3V z@541J;5yst(9f%xoqBA)!g^`{UsdB4$=FMVL&tn40Wo@Ri!S4|jS6G0cpo zFy}18@5Z5ALvwqfaT|*yTJl^t*OREyd+ZB^%Y9s@5Ap&h>rIsCcIf@7!J-C3GnKF} z8k=V|&GX+W`5%cjl@aGRT>DgeQWY*I&9+&y>@?8d_l7|{_OP!(XoOZeb)zN74i~TV zMQ@1bARR(O47;+zHzwsGUzkHAYF^lZJh-M{UfUt*Vm~l}0H#_rEimhZG>WRFOW zamkx%u>}h3rsH@7c1fI)(!8CXt>IhWWNp9}*wt}NlFa#3;xe^8l4g)IZ4q`Ra=c$Z z#<`PS>$12x0Viv)rjH(Dw>s2A20j32yf9eHAjcZMwo;VuE3ckEh}+WGn<$oX?Vv|5 zx;a6#_tC}1dx5s`b(Ws;tCgVza5;x^ts}(A!Qi_bw--VWJDG%3jBL_tNb6I*S@nZm z!{hub-Z~Qp4;y zc(jPk&FA|CI1$27>R>rRf>XL8h|xeC_d$jct?-U=5_ep=JoKNgr`3dp9Ecgl zG4!px(Mef&&2~bA=Ht=tC8v=J@yK(E;@3G0MK}E>%s}L(z|6!ymx>DKpD&fPegalZsdZ#8>!@8Yc6ERxCXLwyAHB* zTo2jlY=rEjH$%L-d}pvvM%G8wY788zM`Vk6$DJI7A~tf0nd?0&cg72cb6>09RxJUO zt@b`O7jGj9|Kq8z*>zIM2wzo;aUC$T6-+DHrXb?tF0)s)YEW9bT=v&N_ouV&&mi5O z7OGa0RjAsrps(r~&WFz*4;B3^QV09-8Biu+Co$)7kMXLNDu*G5p`xK8^_?Mz}rBw4c? zo0mRL*wf2L1iU}h(`!#7Mh9$AY1fO?vzWY!mYsC%BC|N3Pkx`Pt1K4WA?i`|^;*i4 z5cJUA{?U`?(7c&9B{3Ax628;jujp&AlJ|p2RHmU?MbLs~eLQ9{eRTxuSqx7S^}It1 zBxXIF`sxIP=T7k~0Cefp*QFGg?<-%PFR zHp~=PrkPYJ~~-ba*Xi*9)I%vU>J*senX3GsY=;tM!rOQLwmxG>=&>9 z_XB-u&w0s5pSV1}KZ#tikKWGB_pDJ>-J6e3*&<}(nX?cx{$%1=^<-()p5V^-!1!o% zabOvIe6-WP=W)V#vw;#<0!SqJAFH8NJw%Je?F{`U4U!Mip4Fa8e`H~a?b^Y_>Nwj0pj=v%byu4|V-eE9uKyjYf3Rh-#-6>pR;#YQXL ze9W zIh4}rWy1ELuJFUuNXKKBH;ETBI%6B(gy@}0;3lc% zDwCZHgzPOJ#s_D?yDf;Pm#1~nJGlR52R1sPdyiqNfqt#Oo__5r*cyV63Bqgxy~I6_ zyHFFm@#X1Z6uiYD-~WMpz)uV@9ly=#2g{^yS8S$Ip8n3kao9?I85nWS^m~2zDb}*x zk1}@QIbz&?0%P3%IO7O{mXXH7(R7ima;jf1@o)HM}<(`!Z?e=CY#b}5fvt^whqJbsG}1Z5B$%X{OgZwFSqtNh&S z26qKFFQa(Dl*Hv31eKl-0V()*UPSK7J@9vh4F!)(>jac z?WL!nuURkjn7lt}>wEcpXCieg*3$oy6{VjnIWjTecA8e&KlW!cbo=*}&;oaC zW42(-0p!t@7?NId*zezM=B^%Fai{91xC)S^JGaC{fgWl#$Ae)tjN|I)a zmc1zx2*{1eauS`}!&}gyRsaR0th=pcNz~##kR-6+kf)kF)nyXJtUj_v<2h81JiaV|D zP!77*`4W-3xs)xP{cwwQeev z)l$DD--YBEblK8ZOVlldS=F29_E-MBiEj7?RcCYAFcL0_8X=~9bM-aN_h=K9Rs5>b&UJr8o*zPcPz{f$XgO!l5OZ4`q-4OFbz>Mtxv=>y+5eI@o_O{c(@^ci!^h{u@3n(}S^ zhCS}Z6*7j+QNw!3>$Y_LCXHx4c%VLLT8W0v6lcGG0`~;(Ih(nh+r0n1X=uy5V|Fwu zo@GwfY1c8Q`sl8f2{p49Z#UVa$ozyac=R2%xg8AEDp;9s9FDeM{%&K8wL_7NG!$nf zd6{07VZfH!F_g0sdCu#sK)c4SI5d8O5iE>eGW!Kpj{(jpQwPpgR_kXen75O4M;Y6p zxgGZnHa2goL1&>ZPd}rdFul3e2wq#OmLL!H7Dv9-aA+m&8eTu6-zwvJe3DaXy|j{Z zLj(hCgW&#@$=Kv(LY33T#k5F(CRnKY_4m3%#l~J@lMJDXj|6%R{BAdYcwTd@?7MhF zOV`_jPs0L&rq$9Qn%e_Su0WKL%O+jqZfs$EW+rF3Ik{*MBDL zIfv->_(#0mJH2gimTeyGY2uTkm?&56^77ax@ZIV;-dm?OnU8U_Z+1(6v6(DyCmVW8 zbac&O`HGsd-if?2zu+3$$jF-}owAjhv-DucN$+TA$*FkmqCE4CXSC$~Z*{w` zzh#q*ejfRP)aL65`KW#IWndw6awK<0SVVLa7Dr%HjC2&>#}%~Sepe7ra6{Hgk?Xyc zDhS$KUq9Z-OibK4OjkVaZa7@tzYwSh0MFT_2A_3i3lB?-yFXP^S0c*3aKm{j7Yo;U-g$%!RxduOah-SSA!wQJ zAXR7D(q+kR2CG^~z3*!o_9VfGmvmhYbqdZcy2}#|*A1W;#A@$(6MxS$5-6l@IvXt|2%3b!}4@GT823^~7>sz~{U*At?5-Lnm&tW(- zxS3>4XiTNVrQ<%beIuG@`z)RLQC=Lsc#pPl%th}aYQAu2Pm40kKsnG#Icq9-m1|XN z8k!*1ShYiMTyY(Uol64NhYph-&9X zij44%wM~?b44gc5%juwymNZ!1=w#y}L58Tec+!4wL9|CYL91o=hr>5R|MxYDn= z4ZZQrEqap9SWkU-F1VC#@d#^urD%9kB5R&!+96b!>-50qB*T#Ce0_EOG*+Q}*3^JNzt2`A48wX{rQvZ5+qxL6qL=W5F5W@fb{>#ja^ z^IDYY?PclkMPRtyl+I6OiC+oHOvU&sc+VO8(ldrma^4C2MYN#dyE0^Z%eynf(va1= zQ#G4czE1byu^wG#*3Mw@H?3aBzA{tj*S+nrr{G1v$klZ-VkxVNVt)ARo1*aS`*nfk z3kPGbCYBtXvj^%NhM4RkoX1~EAf#d|hNCLYDhsQxHcl_@EvC)*SMOZK!Q#9#dfOqD zT5ATIlFgFh%iiPC=Ix7f&YWdr&UNHiP8pUFrD0fGtMiRT8)q)BQ!zzft&QJSF~4#q zw-2ut%GL?K*3!dUh`%VhMbycZzwPM4)%^8`IJcC=Hu*E(3-E62!maaywvT<9LEe-;%lH z>4>8K(#jqT_U53Qaqpg%=lXrIqBquxFiZ+;|0I!5&MD$bCpAx|I3M{Q2~07yaFOY_5pQL`h@+b!`6qozUX3!{cX z#0xa**UXI%mW~#}cU}kUOz&!T`k&wT?UUx*Ji56cc~Q`~l-1iw>f&b z&)N#XydV8K*iyFbo^vx+RCO=1*|Mmf{f>ISkgvr%X>+m8zw?46fS6_XZa~}U7Pr$b5@hvZz8gI!tHlO`LvOH-?GBBEy!Kn7++cTQ%=GWXQ4S? zr>4;!OT6K@qWP#|x@((e@8Fj9*3u1m5ld+^YhvXwmhjX2pR&8oK9QS~XE!7$%L>n> zYqjh(b|uhk2twzgSf>*tBKP-eYD6)LFVc*9A{-P&S%hYeT~aq+GG||q`yC<8#?ndg zbM8qUvTeh%NT4gQ3=;b;a+bt<0sZ~Igf3#9KL4354H@S&yZ%}By12eAO;;&Rfl*0U z^{)?Qo^;gXv{Ch?6*;bEpOfyM>6m6hcdC+$vn19RR*YXe&#$Ct+;70m(ELWLm%{TE zQe~A&+HpHT#_I>Rz-^X9?W2&`PRKLux`#*SuARf(#il(0omAh@8x^zBiT%B!T?vxi zxrCqmjLw`}M5DSyO1s)b#^nCosN{-(tKmr^Kghm4XlrLHhaFT*rX>hahrJDOCW_s* zH$=Ii5NAQ_SUE#FQZND zdi#Q0V`3jZPgcB~>3we!5Pga+P`t8F^+di~qk8)(<>ArxOKHzjDyJ_00{~)jC`1(_8&JAgK ztCN5#ZEoks&kS?ZWtYzc2y@7d#ftbB1;lQ}ckKi|?q;&GXHzwklaKavu(USP2q(_F z_s;Ux_1;g(YoW3WM@bn!UO}%H{WN>y+U#F+!2grqt=+0;NSB^=@WSb|CHjK0&*-^# zr)~uJr75p{tofN@@mV)AY{2IUslCJ}h!FD}Hhsbtl9y@ir))OwrbEGJh|nPTZ)Hoi z-aUI`vRK^R_&TA^JWmW<>6$~*(XE|piYYguzwHL@NVb=L^Wj&#(@8yaWcl1&?M&BZ zLN5ubUtsn+OYb`emFKgkFJkJgQ}qh&E2ir`xk(+6ScSU516`R@_w#wdJY5heywbaV z-L2j|V`I{d^@*=%Yl_!X`NicmO5tw4{o3>EudoZ82``o-WQ@+-`S+#k0N9dKOdv9)4$4qa?e5rb` zI;kU_Ec=E3R_d{$0o0~-tZ;jZ9u;1VD?a0>~f|PRgoFVBhC`D+x?!1ryZ+>9#%tRJl9*G4UMma+`ZKpB#bzO{tmIU? zQrAwxzT(M;JDfGC0i7D@nq$PfoZ=2rHu(#>%m#}?l161@;aQa;c6YdY$EIT38-pNA zCce|{8WDHdR6j&gFG*%r22**5t#bNb>($mthfo=X+HAxhg$A@iA1-c)`X{<$is~j- zLVT;U1#x|+vv;vy3C?n*(E0b`&W{E4V$5gC_L9z<%Dg^YGL->)-SU!LiFPNx9IxFm zM=~2b=xFI8j^WaMKX$vPJK5#~Vp>nY>yK;&cAI)IP``>=NVrjns^m8Hmq@Vcv%&G}LJ=;g&gNy!~q$f64TQFP}}H=Ua(hXyU9ct)_)|(A8P4Ri?6a zPPRerC>vpsEZP)>ynD5&Hu2E83Of&lyM3bkq$OCvuyFNcA?PA1v2mg;Yf7`ln_YRb zWV2j7C+%5EpilH;o*M%NiU2JWe+tUb6|2|B>r`l-yIn$6fb8+r$>-a?a z{G90;q9s`QRmV&V8~5YlhzsV5zmke-f^MFliGT8YIDfc_&*dR`XXnKG$wec%h`~Pi zgJv@NUCaML*;|0e5hLrOF*8HVF*Ea+nVFgGF=Nckj4{U)bIc4eGsR(K+SX>`EcfTW*v_-X24^-f@Yc&bwD zJT;qUD}Zp~`~?Q3#VoK%JX#6F@}YMBN=(82 zDAG>HHd&=n&#WCUd>QALd4ox@0n8vbcCMyoijL#Zv^=xIUD{Y^cp24`4i9 zPv-zH6HVLDZnHXy#gMFO;f?Mdd5t%Z5@x?2Vb5M3sn4#IAIyrxJ|fTQ38~hrOe<+l zMGz>SwcF~cYl7V7RvpBcrt)9ZUUd$=a0zvJebFk-A31NiZfwpGKj%iDAFDw*gnWlA zDJ0-LU%6H*57q-uf$f(_KymHu>?@-XP(79saLU!(>|SvYIEWnbJ_1Ozoi};`7hSX< z{#V+CG+2}p&Qd+X4o3Y6k?Mxh212bkG2cder*x(!T9wMvVa5)&iE|;Ftjz4OL%;{F zTd`H=rE5%AFVib#vxYN#S~x8!wt{6|COr;6eTz(r0|8~kNXCnwZa)55lENg+y__(g z_Het>`dwco0!!*7YiyEZze#^&lH@)@er~`2MUL`u!Jn z9q2Ypd$B|SzstvF;YS`z$nDKkgfO^||B!*|)ZkA-SBqs&t6S|!ZSq?LiCf=zcPa^^ zW}rQN(=^`xhPbHJR@z@p*;+A5&YL9ZOd7i-g4-7kIIfO@X6Y%tglH(}4ZB(VxWRmQ z{L9d&!IfEen~H2y5<@BSxHkj7Q`dQgdh}N)eO<_nR9;eJBA>xfjlIY);%<}X+r_(PO<*a7c`iTnncFQ1%2Yzju#Pmrxyt9|(@_7UZH zCQq|c#w%g++X$4jC~~oI({O{7oT$PnDVVo-RP4|FW=$}sy zXgf*w1`Zj<0m(ei+ zaY7Ljv%N5E!U+Jnv;OtyI9nMD3$;jUQ*62@eEzH(fn;(X=J{;q)Dp7=(KK>nNViZI zU~yXOII%HijNp4#k2y|Gc~3sWfSGkF_>72%p!yR9QQLc*I75UCPs16*p#kly?aT6| zOc6FYDEU;qBq}+kQOpwDuvSypz&IZUWkMG@D@NsvKI;t4OTI-D{5Z(-v_nRXXdaM1 zdCfW26*s`_OKHYl!)#fx?|~!P#<{EBimOlWT^n!U3{|qGWv`Avho5~&Nl;vf1HQPM zdQ-H&wU5`&imBA!x8Uxg6woH(^{cnl`9d-5-! z;w2{tF`1bfW^oZhBd0-O_oUx>un#10ZbqfQHWrKIQo;OWq*DRP2J>kr@C{^eZ&Tx` zekr0is}DJXGlJ*ByD|pN?YeeP7%|@t?>B#$NcY#f_LwjGipqAIJuN9_yn*Krfaz#( zDy|RvNeETWY&fyus(G8Pqrsm*AZ{XK=%Nh`PwSbM#-KT7B|^J*6uY`_q&iGdDXK~< zkAmo^P?7wTe!w!=Wi90z?v^C%AoT!zhZ))S@X(%ymKri5jIxe5nuO9gc_aX&30o7!&>sx4*djR zSWl@Z!`K#066qROXqLo*=4w)J_$KMQ@GEn({V)I`bG>^O|Rx-q}$OK@nUU_ zbp>uRzR%wLj5XO0$kd#O`id4|Kdc=&`gMR+N7H z>R6GVyX%ZeuJv10Atc*}U`U>LdHIK2#Y_=B!nmAXV+wM7Pt3T6t=PT-HMMhz zx{=aRxBL_R4rdFd4F#cbid7Jcyh0Gm0{RxAVG?LnY1QI3@zQ8FY{ z?yxbn9R6Y7whYfy6OJ8%4n{3&eCr2H89nc0m`zUh{Wd2?SsLqnzfE;E>~0f&*)p$9 zpN)Xhv#tdCVRQOy=Gco<(d*U*M2E>u{s?1fU@COW@j9OfVyB_!Mk#eH5urEmLFSpBu$SWeMlc@<$!PFt#P zzCpUVN}Wj`=9s;mOY@=;e2lg?KVFO!%fj*a$EwiX!n7rQ4=Eqvy>K@J0p(s|L8_(W zyg{*yU%0<}zwtQo+Rb`V&SI}aZL>qY=Jz-<=2JMO^== z(ZUCOGEw;GtuF$h`s~Sihs!M+q0+O&XoD&0emuPCi6l!`Q=2Tr;50hM?<)up_-SF!sbDUhg9kdv#D5&Zk3syp1R(%QZNx6VmJy*`N ziF>ScW=&Z)t(2m))Sv7rdgVRI1U52QQe(*x zXW5xL)Vmven|bvK^5xdl#fEhd;l;~x;JNO5hM&ubD~5E(#&WAug>KW)l}rbK$)hF9 z9nJ9Q^5V<;Uo|#gG=#7o_Sb8#*A@~tc%55-gP0MtFUVeB%@>cn7=+n1ETt4F;ca{7IQaj z_^ItSa%!YRczBA3o!K%g&xrZvsE1b*E#0W%S1#tZhi!=j#yM&^v%_eJ+C zyUYXIvUX;@&fCMl5xx%!`s>Z?eXkkShdAuGb_w-!vhgPme4AIs&i)_+PsU2n^Z1w1 zx$x1tOJMadeyqoEN@g>%PIg6$tu<3q_#Lmoa%J@kPP~gQ;HAG|ld<#i*|qCBzp)rt zgZo>)`bJi|chAJY8__>Rpg^JBjDHbV7XNwu?dP)e}s+9HB+UV)VK44mVWzj`o z%wV!bvTt^0PaF?0ZmPP}X?`KcAq+^RND8!%XVZOkszGZhw3tyYTQq$AVA%LPRXYf< zGh51LP%O?MAt)vPb2JscmCl9?&wr4B95&fy0>M;@b7C)==cL9|I55+|6Ws4?ug?TB1&)Os2z%#F>5WC%XU#lEF5VR?4}y~1 z*sU!8WZC&Aeg55b{~q+`d?lZEe-`{%786^{6lh@;`QV9?z(CWwwv(Ru6=R{m7W%MC zD_czz?R67C(g))_L|g;dbSTq$^mNQ>%TdNiJ&X|FlOZ92jMjloh(dzj2Rk2_g@p8x z2Zy8$h%{o8|7@9=>YP5WnB{e$>x@POKg-AZmwdHwF{m797BDy&Xcke4?>Yx)dyM@F z)KBI;X5eCJJ=X6z7_<)Yg6_|6%#%1**zj&IN&>G|K}o zR1+7MC2Mto56xejrB#18(>+A^_ACe#Ujno#I1V=&tD8uTFz*4I>N*qLiwk;gLlugX zgx_L@>{QDztGyC~2g1LkOte4P)2o(!mF#U%dq7+~rJq`Tkq@XFDnjDhi=c?dN`J)e zsn-t87svNyl&SGX&IBx4_#d>xW9sVikvQKgT3$?cgfg6DJ7Q?YaGS z=d8I0{K;F5F>1l-nr>S?G|*j<`!l2Ul&G6du&CZo?(&C?c*97Dq=yzt%dF|lbc<0h zx_eXUaPg-1BpD%Jx;Apo2HP`U2WV0q%mqumGR`SbI&kb&)CED!NMIHr89U0_(L9jw`3*VENq*C-n^Ei9k z$igff;eA4;^IcRlHAa1NFd(m^e!Cjw##4*y`-d;{b*D~<2Ddyg8+>g?&WHvdYhal9 zb|DWF*9h8ohI!69Ws7tC$hBaP8fzfOxi6OFwmhOEG#J_L9~3 zErkonH?74uYkIq3b=P)%9!&Zj>D7i43pJK@iw(_o%PYf>CRy*u29av1B#bp-idAJQ z(T#F&WNOi08B0n!W@im;j%%6*Ii$k|+vr6qetTAzbSzLQI>q<$peCC3%iI(&t-*h- zxxr{*mywA-Bnc(Cn*jw^hjr&0H{;!s(}XqQ0hxw%V_me&6v{Zx3}jV)gdx{`c$hQY z5mZBNDyK*^LdcyW!25s-@uQDNm5w8H%g%De`irb+)Rj*#Ki6(pvEtEB z)fOG|Z{w-N3*fZ`O98IZ^Y>b7IHej(1Qm`bpKeow7c+~<&Tlj=e+&$?K=xWki<8Yl_XkyDfQ<*`X#-6Z0kZ#HlEmLsl?n43tp zs`(p1k-TkuP31mw4Ibn0dTtf%Sv^Q&LsF)d-z?{)_ z)n7Q@I(nMX8fmf}x)S{H_YsRc=Z)-^SB2~yojRr%pqxJTlVt)9_JtXsuvapssy94- zLNzBe*3Vsc10OZT^*g_8Edz_Qv-E7GI)|DYuJyNdI*(>arD%=E%n9k$bNanzx%(#L zWiq<0Ul|*A8LrPaEQXq+m%EJOG$iNl_54%i32ZX-Se_&zdaWcPwkJJ?!ps>?l};T_ z54+y*9rD^I5%)c8@A&DYej*7}+&x-8QAush#I(( zLXX@-dKFYN-jP^f>w(*1v)CIHhIcKG47+p>oEli2uoGtJcEqvhAF|(P1~+f9xA@Sj_i&ou z(spQ;K7N&6ZgCiu!x`@;Q*XgjSnlW8hmG8lVLpV7l&9kTwaa|4wzZYmk?9s1DtvAR zLO}JWa%Kf~$ToW9#?o~RvF}5p7UuMdreg6%xe7$lj)eZ3s66#TcT0d{JN%yof?G|7 z7ZgdU0gDS9nMAwM4yss`Yu6xg82Y|XG(SAq_zdiwE2uHbHBU12hOv++ac^VwO6Gtl z(E{|JgaKh2$=Eiij3|+E{7MEK<*xuIAechCD8FXProDWZQoloGJ|zE-GDCoZ$#CnU zM3#@kKv!or?+_jGPMPvfb;#b^h8-HvSlw6qd_}ujtA=z(yBe8Q5moQ1afDJFT@N=q z=v8_RJ3F`pWn*S9*u>E4&$gc#O1m1Ruv`ipcQjT2oH00iJR|0;cS8bOYkQZ$YB#w< zZC35|6Jx1?gSS7fdQD46V|z|z_0|gWp)CU^WkzF>>ei_>HgCriI35rNyr0}2z^?;S z^pv{3k>z@JcBf0{;hX9|@I&1zmC5gChJx|_!U3z%(zeIh8;9Rvy?@EY^Zl(9R~^oY z55Cu`ZGIlBw&*cnt#8RDKyl}9SR~Rtn<^}mvs*IIE6ouPbF;9uct^Vs&?B82TYk>N zTh;RGLEQf+B>~t@qowJ@+^_7{uEL_w#o^-84D%EN7$0~MxGB*BYp6@H#r460WcX%+kwHh{|nk_-T5UB zFfx@{xjuKraX>_TA>4%;Lnl2V0pOz^pJ7u?NQid*sE}G@Ubtqxrzn->TBPa>$Z!L5 zzQ3G5!>uz6Fe0D%FVQu4l9>se~`_?F-ns#ZTSmLJtTva&61kJUqmau~H_ zaA`XOkKf`3GInCAW$SxkwR4{S>agXwBfY{hN*rB>F1zJP`Qyh$*(34}Jp}->RH~tQ zDbah1ahH194(VkstMLUa^%jq;hUUI3GJQfan?3aw?o6#AaYo0$I<~w2PVhx zM_CV%Ei&C;*}nsz@DFl=k=;Nd5dg3)ha<@p^k%00_IkTRuim1V)gTy5w;Gt)(3L>P z56ilL%Ps*h5=-5s0*ii4kN%&s&-`@xu2qVu<_?8Kc3As5pJ_){ zBmfK4q1pb00#-HQQewGgJk?yeCQ}h(7hXA4-Lmi$9||Ss2*5q94Oa`{CYf(8X4R;V7+DE zBLgLp*dWM*@<(9&evR|jO?gaM%VBBysVbOz*dGZ|8xjrQH)OiH;H^%W^$(favrr7* zvzz@>oazj7H4BE&tx{w*{EtH1_XuR0<3G{lcc|L(r({ShvB54qomy~wfn2@iJnJpr z#6_!3mlv)ce&Cv$4+)%tfdoG61vmO$_X4ObK?(1$iB92rO$RJb;YH$zA)@Lj-m!T= zlMW}m8(hK&!sCZTH-C1-3Jv|jSK3)47!H@wxK8cdvI-6I_}shfh{e0=0150++_G;) z$f;!ae0SuuU@`6(0 z;|g7*IiuTu4Y0gbq{lsaYtl@ z<@jK_4mMUx9@tW_B^7u-b2106fip?1ODiVh3*g@Uzp9|U1FNN7D4jl`!g4bEOYe|} zfsbSolb^gS^RuqPGA6s_Vk{j$Jv4&D>$N2g27~spDU(3e@bYBe85<;zlKAih<4XNXu%d z{GMuPS$awju9;bM9pehiny5vV`3)V9TZ{LXq|VLLrf48Ypyy(6W5;7JAegio=UWa1 zjax#_cYrJV;pmuwqLS|~EMDIwY+jms*26YN7Y`__aS|*Q=T>RnsH+omhTzSQz{&)=jy~|k;RrAcU3biCSY=XABU~|K)x_8cz*TP%9F6es zRF1pA@MslNko*=SdWtuZN^G9hV9u!SDDDmnSrcF4cvpC;3&qv~hiUH1#O4u)@My%( z4FgKB3BI9f(kEie)Ank7ZzfQSXINW~YInL(cOB4vtH%fKeW<&jr@$+gOYxt(uJX)as8B(c=zIqQ0$UHx_} z8TY1iq0biCpRil%XVB{7zckS5pM6Yr@G`R>z%mC%=9fb^mqswN2a*kEta#v>2>@Nh zMSW~4L|y3D7I;)W?8t|;=-FD-Ue8WkraA_eLo1*CaB^P&yv0;*pr;jEe*vv4IT1{ShO6aOm z&ordHoNhMW9phx}3!~n`0&cHzrJP&7c-aFJf^~$Pghq1&JrEoBRs_$kbhb`i47PH} zXx%^t$pB!@Xby+AMU565KRhcC4x-e4fNh^PJndK@j`!L0xNC{Tvcu2^sa1M;V=8ss zDzibKK;1*fbo^ec&>oFu7?ojg$ut~~zY5(v636T`Ap)} zhWM;9WH%dobDEn)-R_B*Uj5<5Kl8V(a^x|qyvdiY=$hkIRw_P&h~3AJ__*7sLXqB0Gd|n|!|#y4&$8`~h8^uXqV|;g_EHF#Vg3*zkdys-!O{GQrqP zFS9~IBoEs@-(HgqPiGSX*Ec`RnGzr_GEMhSB23mhewx|#dS;93Iim1^;m!d%k3Z8}uv0^0&jH3}-gv_N13%Q8AVhS)e__D+YHNH7( z!FhCQS(IG09A_0J2VJcjngCi4`iGzo^a8v+`Vx7axCvUr2fA#C+0^*+kNRWk zCi>nhW9q**EQD|-A3yFo!5_?33#^aL35G@BZ+C{e#kG9KUX>&=$L?T&tsgK! z@4$tv$1+2I)8H)8pY>qb;Ngy$&_geWQTjg%*mAgZ&aDu$Tf+LLH*;7Z=E9?9LB^yb z(%yf87+UzCV*d&usm~G9VukK7NxG6P+Qb!VZ3jEEfLvIuJa8HC2X$rro6i*TPydr6 zf4iT81?3Mxg&R;YK4`RWil>z71#;mAzC;&Cln=Pr&qzLs01a5qBmcOqB#LA85DwA|n` zIGUhi9La(Up=1k=Y%yv1N}yxT8G;LoLcKZ%?ivs0vk?{xNwR1tZ3cDFPU zbtSOolwM&oFLSW-me#?;Uyh8`rkmbcdc~I)vtJM1ndgmkNSyQF+#TWOw}A`tbnebL z{Gl=g7?qw>&k6OzMtXy)nr|@w4JI3b;Sb;-u26mt$;Bo=^gmmv^j<2)%n=zSWq&~2 z=G5GHF9DR@TfJGUv|yal!YpP016L8qGVNmAxUYVF{7FZuu_c~X~3t^&r z7wuLj7~XK5vy;mUx2nE}eYo`*J9G%}i(1mn1@&6BhZH2*%{1By^VmYViMzKN;-{bF zgmmrjPfw2}kF7^ecC5ooKZ4z8H!q=h+#!HKK6srO_2~gcFByjN!abIYqaS{DGDM~rS!|uV3~jmb6EHe-++mMv%CO>98aUQ}&q9wx z>_l<4HfFiAk)(3q)uFU|b}cG7yd6MTc5~-Ivf5NHj9GHNiMDure?GIgy6e-i4*b@5 z%zy+wF6W6K4Q}{*hPRywB869hFxfyDEyv*J?Ztf$-p9l!m>20mNQRDYW4wjm*w$h~ z28`!EUM`<(TXs*L=>zL$+IH|=5NXGWg?{ez)%I48U3(G-P9AGBCeD71H1z4= zepC1eHFtmZ`0?|+VNv2k?DMaz&!CHeGxH~xwvWbiKhkkZs;y-yDF5X8HMPn1^$^vR z@L(hG`i*&YNPg$l<@d>5 zmqvL(2r$)1eU|H9Fv%g!p~7CNGL7-q#;( zg8Tl<1w;4|d$kx}_${I?gGCWKn|c+$2qK}mC>2Ovzf#pN&>rxo zC8wTwG!P*TDXvrna!tn3qLbQ))dkuM;Yx>*#OtdAYpZ#QZU(P-B*Z!@p@Z8@-2r>83MEMJ}O$*cW|&u(9CQ>=|DKX)tBzz zlqF-J_Ly6pfP~B7bb9L2zf-YwH$JDSYGHIfMwbPubU6-&hkI?s892~|QtRMlKA=yy zv0P^;KaQaD#?f`0NfIe}n=%E2Qreu+9lPyw%o=#sj3kkYWo~XX?v>q=yxt4qLAVY- z27UgHCM=jBkMo<)Sg6LW%(=vP#ex`#_e7^xcLWl|$80)|py#|$AJmutlOrhGyZj0I zO?WWTMO%Z{)96a9dsB6rOghq2fq%Jf{a^f-yVq|SOvwLAQ^p&$l7-IM$91kL32Ib= z?xZ)rn%L%4mTos3Wb=9V6^D&L@K5M4Y@)YDUQ8NztI7+egF+M63`oKk%kcBjutY2M zHxAX+?efGHlhtj4zs@?VH)KdBSBnCh6!ro-s*fMS>{6+h0e52hUPCl|Qm z5#r(xo=GI@qCOwtj%SFAr5{K)N%mGl{d|+0(5}x!y9GyE;U4e7p4IJQb~V%43{3}! z)$I+^+>(KmCcYNxx6+U;Z;D3$zj=%}y(t~(|IVAJO~6;tCcHP~OmEgF7-qG(cpeI= z#ZJ}^K?(~bs2+WgCu=<@;WnjF*bbzhS>s46CpNJ6b|}IY{1oI+#Mz=suu3aAMQO51 zJ5;CD+oDRlrC(<_VWz_XPUy|YgDF;t?8PVJls=fqn>@)}9oqFaMkE3JmK|QBVPW)N zXwV>dKj|%$U~Onv=xYILNNH#zbY8}}ms|c{@nWtO6l8$;ue|u`mHVbSjQ!1d-J5KgQpw zvh&DU*=_~VX2r4@OSoPT1q%?wijdSwk|us4uQR$wTiXo$zPm{EH4D?e_GbG2dbj^3 zGPNL=nW=hV&|unyNa--T(XLfEz4J5UfX!FVsqQ9DZQ%{Lpza$qRdidhmM(@?NeJy$O(aLF{S&-yh-bbL|VSsmX5##Y!o4R9Wdbn92 zdW_#1ouZJj?wXU2z-^@fJAGbPfj7Ep;lF5^`(fhsnPp=n^*#D|#*gyu&C+&JCb!2d zS|h>2zS4Ie1}wWByx>L)Bi>1IWIKDuj`=J>W>c{Vbxn)lqQ|+haB{**Y{KoXA;phV zi4Ay)uyXg5|K5|lqhYBK_Evozi~Xr=q!D;s`1I-he17NuVIrVv1P7o^LyGd@ql$!3 zRWAF;LHhGJwHH>xCQfZ9h&Em|g&15wia6l1ncIVUgTOZkjqnEB-ykI_dTQ1p?7P0Q z8{1Hc)L}0WG`vtQ&@`xE*vkkG-Zl;x11`|CVXqX#s1#kBxWf^!s`;}06+kLyg%ilj zK#D;e_`~Z=GJeqrEMP|LSa^+m`>s}y5*CdX;J2y{VSs`xyeHl^=qg;L{<%RW@!k;d z=A5~%lDgu~ohB)eXKcU*^L%Zd># zj3XWHd-yagwMRl%PnYuU@u3WdT0K>C3ntNkR^s!sNC!*JzEH?Bf0viTuN&tV@ly&D zzXHo6`PFEDGMG8*oy$z8VToHE>V(APR)S&CjH!L&ET*+*^z_hlQR}|ZF0@-=rVlex z+>v8Fhmuy!3b&!@pE?2z27cq4hDZoS9J{nD8bnS!zUGvrHLSh*6HX zGq-LaoL{z){HAT4!9GpmY3EHO*(t>-`w4X+=1tMVLz`+6^5lFgE)mu59pa%4?_ zc5{07q?Ik0!8@t`W0%wJ15Y{Z(=SoNs?rgEJSAJSO6G=s|K=~F4f@>NCQI6cD)rUe zIH_qd+jc~4N@1x?;E69kmzt6R%Ym#^uJm8OKT(>}d0pgIxiNCSa79kdtsMj!CW1a$ zqphBe^Cw7tM@6OG_3E_<#O_qPmxlQCs``dmmp{NL{CD+xIou=?Mp4$6{x~0Ogq%41 zJ6cRJe2$;0l$q>SKZ;~@EbU8H{}_q4;{p&esKzpr`wMlW`{S^2C!Mjaig&DAit7v- z<1A4u813lIr39@tDp-q1IQum-RnZ8TD5T77!}0G5uG;%!6t&~JJ}}p|Ugdfy4wn*L zUa|OOoSp37H+F7yTR7RY8p{RF-jD3QiV@~wun*Gb`1i#~CA00W6ee4KXH}fB=CH~v zg@?rFP*8_Xd&^~r#&Dd^bg{ZAI8n&Tw?y%^GF20I_MO1F7yb0{EnS43{%eWk^&swH zdP-$ttisyyx%RL39NiasD@BBS}cpWLtnISAt*#5GOF}bhNbufqw-KGh!WNLG51;jthMQyPY9sao( zxO#qc&0&5>oG>Rbv*^OPsU2Zi$zcwVxjym;zQ*$k zzLxP0zV`A7zApC-zFzVR_8_3o-o_M+wQ4i*Udv^byQHv4ooz1O>?AF=lkM>lm03&) zcz}%OkpH8^QcYk9{S6PB#fH*x#r`Sol37K{(%_!C`0^Wb^B`0i14?cg1p1f)rsIoQb*Y< z(4DVH+M}j!JhI6KMUn#@oO9u*$2OT?2)|$bD$2=0yQZ=J>>ht=XQ558N|5C#fmb|Q z_zr;fOuttn(1mTx<~(*!rH!@r+;mOp7ehtyj_e2zE|fD{f|YsBrfM5&{kiFu z(k~8*;;Y#aEnFxLb_6Tn%>2VpTKYv%QT%gu1h<(FL~$nKJDO6+-e?JQmyr>7U8S5a zbGv$eSk&NC%_xuz?`UzzgFCed{)@OE1tDqaEJG=SQq+uO-=+Gcypxl&VMHh>PDTF& zhBx+L$Z4JdhHimxzLH5I)yeM79zW(+RJHA-4XcUYl!X;leLHD~YT{-ts-|X{xdl!M zr?s20?1+`WE;~Eoe{?ZtW3XACRJN|h+t=r^ll#hFZEJ8NC9~mplMf(j7#8b{oOWGk z7fl__+CLwgp&Z;leN!mw&lMhKpta94pApFaPzE~_BC(2u>A)h>)I~aT|1ZU!nCdIo zG`K)EnjN8mj$@%HPT!B%P)del3Zpxh6jMj_mAs17jzA4VD~SuGnG5ABJi}Yau(y!I zg&XZNv35Xnmot0Dn%wxI=0+m}{zJwc$YcL^z%I0{st1UiJE0&q5Gu0@h|EfttBJl9 zgnoN%M-Xws#Udoz>3*3*mD0BKyP=aVTx;kCEn8m7exGimYNyl1W+5}4-^n1`9k^TA z4a59Xf9p;7l)<2_ie7hoOk}I-**t!~NAUgYFyvt0^A&f@dymN^A90ZLxAYGC@~ETm zR!t9e%SFwW+6Kckhe~^OOKq-nDHR+KZOh7Ug`yha)jwk*RB>7=7zkuEmnv$kY4;l| zD{8bf4XZ1@>1nz*SNycqoS(0#sMOTdelsl9r=ODWYl$xKX>k?5x40YE%czRD4XJV; zvz1{P{Wrxpg}0amKs1{{gnBHG8hJ|vH+cHZNNz1Q`7s1FzppPM*#;fECx|TC3O!^zFvGkcUJ4J&}aPr5F2wxnS;Y2h-*2sKuEQvHcR(=#e%?7 zAVe-jfmL^PMlfNeDocL{h874WOEiaR;PwEO0X#&?(Ev9efKup|*Z};fjZ|rIs+COZ z7L{lZ>Xpw&D-t}^wi6v3svQtxJmVHRJfsn4tfEGk#1DA5L0bZFyUux^<$2$`<;;b8 zAkRqkos2vqp_%!sAzvgLq=|RU?Q*{Geuxyg6Pkb2I8l#Rj@DQ_zPi4GZw?1?ed_l3KO58g+J@7<67&<4s!C!BX1_L6sNmMqrh8BDQ zyrZMYy`%6zH|Xp+hhzr4Q{tV@d67ZeL=jOM2rj7N5^ABmkz|Z?4FWWZv2J+%Db<4j zWYe*g9ilyoK#W7u{FlNxXycFBTd_)5els>ZEPT=6iLRA+@T}IJwjK2^+ev8S>a49w zE-b%htB~3Sh0FPDgl;{KzJC}8jjMxoX6TAFWr~tC+bewV#6m(EZom(~fc|@TJ;r6* zZ`E^(xu9T=LX_pi?ZRY(FJXJ6LNk@~JA|D`M|ZqvqGcsrm>;|5rh>2ItwcK4ZT23L zdJN01Cp132h%8VFCvlCTStwpZ>HY`R1&#{TcSqnX7~{CpIpy>aoiF|Jo8h+^2TC0| z#-MK`;Md@1CbNH0_AMik*6YW+0|Dj9rY+)*f&XT*%lIAX|{v##~t>L=P zl204tCB|9b5NUtX7nD~971lt;{8a*34Sw40AKO}<(D#>D!WY$OrPmzsA309Y3#B;j zEE(;zE~88{Mne*Out^|oVceyd#28Gs^eYC0EH%U>a?)(i=vRRScGu98jGNeBC2>eP zyQH_P1R7Qq0l5$pPlAdyO3p-uj;x?OET|9@GDZ%bmi|rIuwn07wD9Q|lfe6|5H>^M zTRB!`rx+nqIYvoD*q?11s~GXN7&c1EjSpKt#u~NJPwImddc=fW*a}uK!=#-Mtn-@T zQpuZ3s>6y<`Q-JHv#C_|kqcY!BpleHR?N_whe&N>MStLimRFMs*fJC>wLr!={F~q_ zb8t6W{dwAgV`{JecA-|ZjP3L#^fpqQZy^#WkC@0hbx6S(04KYwAG1kkZ6aVlDHTk6LWXx^(cb2YY>Of^2}E795;RpQ;^U*} zEtIas!A_Rx|CawsfTH(XUX~C=kE`%D9=4i5m0UVhE1tHbNVHPw+U)_M$(B;sGavjo z_@bHel+-R$Vlx#>v5$d^MYsPV_p${ zM9=6|pSo)|8)e8;E-Lcgmk8_+8S#7%WkUBggsoq+L?5w6-oc9(B`RWzGUtSKi5o7k zw3CZssB0z)QrjhHA`*c;gw{hP4RwQLf=lWH1gFbSY!Qj#=e(u$X5vZ#dxBR0od0Iw z|LR@1mGhn~5P1=+> zeao>^L9;^){lq1&!4(SE2Er&1g1k3P>xd^RVD9N_n_ z3&8ukh}hkf@cf)O_c)T(JyTFn8rBaNc@vvzb=2qNh4~&<#sIHCAk>=(ZE@equqbl`wk|Fbc3F1~4y^V@LR=AcrHGrGh);9hSUBjB z(7V|T+pY4DH@_9=q!;hDmY?-kaR+z^_dvHN{>HeV*6E- z4j8rRyqUlqpOeaq>EFMQJ(%MqP4C4GMuD^guY2)PJf3}msAN`W= zh0_kReZ~E8u{(RB2Xn#Tw|o7;wbKsQ!>-waaKOT)tFDj@=cm^E#UxGm$FPwza}xST zHhyK^i`(NR6Jr=Ig7Mv*ZBGBS!%`kbcF^dqpMM83OV4@ah&lHKAo0}d+N+~GZ&PR( zs;8LGn-b%PtC``^$NSh5=d6n~np8*-g+0U}MoZ8Qyne5D7}J(OKdv*0DH7g}D2=kO z_qX)2|Hal@K*bR>i^4z%SuD5{+}&M*yTcOP-QAaj;O_43?!n#N2@tZlyUV}%?!Ete z@4RACzbGfwHvUp`fA(8BJU$-wm5F~dZFw$*s}Ug?I+%k zS_ofz{M>KM3vKCIKIx%;Fz^Wu$@Wp_5@{$)13BY2ckZ$OZek8(OHnv_l2haQ+8^;rk zpl7xKFjjYDl13UI?4DpF^wI>2q@!9ni7JCvNKpgu_oItY+t*i=6$6=2(?pDHKCI)e zEcK9N+X=5$p#|WIqYjV+i4@=|s5A%r9Wq$`U6^{PZFc-1D=H~HA|q&tft^{Pf;cDSa$!193bu4Gm$^~NlZwJF#ik^q<} zR9Jjc0EZ~5Wgy7{z(kpTDDmE!pS{?+=;WVrJ-bLbaBK>;Oxx<;K`dL1-_i6WADG3Q zG5cZ(gIFjk(h?(LE6r6IMeFxuRsp9HHA-0V1*p~eqB}Gc_yAO1gs?qm@o3TfPN-E{ z@uyF6q4>m2LsCngP8BO{Fk~M?I~hq-p$cluq!D^zIe5k9c93KeN=Saha(E%20U%^9 zDQ37D@*QGO?x<6_2q2v>7eR7(q?ufCoz8HshJ7) zhtNvf6A!4kq^Qp5(qL!i;6fi(ij4@;LwzKfdt>Q#9I?4hT+t}{d*czyEZn6;R3xKs zYN9NGMhft~sOew)GX2CzE!}UNL}yM8z=64|v%~Nr4H-hw=9*vN#Vp+y<5&X!L#l$U z45MQ?MDfeXDWblT8(6^-A<~QE|3I}0_J#?I8DA@mNA==ylF{u@7a3LCQ zyriKt{*V7KLwND{768ubKtpMS-dM~4Qd(F@|CF#|!Kia5JMeQSCje#`$qJ^vQ zapu(G=~<{&?*GMDz!$CJ#hd?A&;KG;dWm|9fJY-ia!lYt`2C>55%#A&ks8tWKY+b} zTQh(Z%UC~dA6n#9Z6d$O|KeEi7o<_ZM$w`-2PmA;M3SMi0<6{BU|zE#)JU6$ht!Wy zuwZ;X9#Y)pnO^wb)?z}4)!2;n;`RN9RR0|cX8{1O{i~6q1>t>yM73FUY|_731#!Ze z>#gH`bAb5i{KtIU;i&AE8}pt3GV81qcEhoaU&Q8=kz~YJDUb(e^vA|Tzk~2Tyt2VP znIYYb;~u22&QO}<$_*8gPvUZ`2PSShCMA#-aoeZwl4}N+;oH##{E36@C5I1?LZ`^U z6EhSH=<$aAaSMPsMTLbkQ_=W23uh+JiEF1kr2exF7g>@Zjdjs5AP%dzG8bw3R90*Z zVeA5ymfn!LH?|&zssL9N*kh`0QW?+Xq<~3U8mC`t0k9UI;p-l|xcbCf@8nX7BBD$j zka)qj#|FnVfZK{{@<%jsSD#H*P6>+Q9uB_l?6cw!E)SkbE=ark1M+2jcJPjv4z@Uu z))2@Gb~oe;=wW~rL5>Vki8i1cq5p|)3af&3-xP(X=47D3VMurOiGUud57wldc?R3` zAAw3$nn&BM38a+fq%*?{AfZAnT#F5#fR%Y|CambO!u?QUB;Q2;r4O%RtPDF}jK{=~ zU`SmKVY?kSPGXvtE`#tBY1!ot7eFS8xYY$CmsLu$nrTzH5( z7N0=JOF>6*OhKwjU1(RPLxe{sew2*rM_i^OT4)a+*G>sb01Y`{i!IxNWYnPCZU)E* znqw4OVGu=>1Y`_Ka7Q32PL&qr4#|yE!g5wKhRhp59=|+as36Q!?ZF>_NK3M=t`RC< zLIORKsD-mjP??uFOK@MVjtNpb=TNQ=d$3C#BPr~Lwygr7_aKGj;1Cdy9sLif0+oLv zVryuLc(_K=(g)g$8jT_36)30HiyqKnQdPtug~nF;vk{BdbIzXDIrJ=v1MZMl(gD3) z&^j2TE8K=QWU$Y0CretQCjXQy>AU<)_U4HNnTU_L_e;5{0 zO9uZ}%_uW6a)9+C{xaU`t1}_s8@o20%uGozD{9JqAS2I@r7*vkZL?2CD4o~vu zDJ4!4FG@{l(XTy)@i1^O^QR2krit(=V=II#%6i&_%Be+|faJt*IN{wBjx|KR!aNP_ zvZ83Jwm&5u$rW-k%Vq#|ahw3%4u(R~-N_Zu!`+|ne4{<6ijIfoW2*}bJf~W~ROe>I zc27^b#)`(j#bcw{6o$zRV>qJSdDR*q%ooO?T@GxxtQnY^z!lnF!I9-ewmLLUE7IJ= ziED3um$rXuyKpV4*M6Da}c@Gv+E$BRX?^EEh_aAIoJ0c-4^_ADjdg z!eCyhxE*R;5d=K>E8N5g!E&TbY;deeHBBtM*-=^#SN2xLI{ImR{;lX7ndaTf2q%O0 z^(?h{ta8y|q;5rotK)&HqCKqONjc^i7Yl}ucBE6;h@sb0L#KIqcxu-D$pWX`_HYWk zYad7fcE!M-28OJm35C9)wzDm00owo(8i@%`Bu`0BM|sg?@!&on zOOoc(Yu=(iE8Mgh(i-wDzG^=y&j=75d$GsnCw(bB>$mF_G*nk9`CD`t6HHY&Bzu6W z3*EJ7=q0QbTCGJvM#;TzW(~$GGWd9#$5-FH<9+F@3)Q;J-gn@~CDiG)c^MZS{tfu5>N6;Onhd!tM4X)9K;%l(v+kbsDyq zL9Nd&70UNMK)(-E-sg7fnsi`I2r3<%KsIMu+|f*IlK_ra2zHV^mr%MZ$=T*4uLv;K zfwc4ewex+n^Zm5*y|l@?i>Byjg}L=}3`F*^z3jK%+88$8yy7;l$=3HJxTYg2b8tqP zA6y~?mhJC#f1O@`YMLIj%h7E2t8Tw*gI;4!y+oY4_+6B~Uv864kn2^wvDfCFvA<@Q zZPW5!%sPb0v1#$E-e4Agd-E!K-Y-ASA;`^{#4XV?kDGVoeIfgigJa#TTKXuPw~Bg6 zDFw=_Nwn%xE`AkFJ3};kVoucZ&zsmoG;21XX?PY*d&P+q`4G7u)MX3|=buLO8(gHh z6JTz9lg)!TJK1%sTHYs#8qD%Ey+WFh@ie_dP`*6=xd8JG)`$7jeXqP4o-a{8**H(( zT9zl*9W$C7iYC$jy2Hlz_}8e{>g2LxCMEHavcY$-hr`!L|A1ams(*Ap$TRyGJHO00 zYAOex`|u^tIRSJ9Sw?y0n6C3n-(L~xteH#1Et`ELKcv!I)_xYZxy_hA5iaUS@-{H@ z&~Vo=-y?grq-t&k zpQon*CV0sdy5G6JM~$@ewv4q>$?kWA6|TBb+rGON(XJ;$)FvH6jI*x0T+RL6H_*pl zQe2$%RdITdWy76zNqcM4rtDw%TFdquY#J4FuK9geJTqn$U6L+g2;(N2G03x%7mjDT z4jpSZg-|sk8VDER`a%0{3kcnQeb?dILHk&32-Ec5SlODe^a3?BKu`U+$_qjOy+Bm* z@kbuiB?N&6(x|kGkCqF%%spa-+R#L%iwGAiRY1enN~n-)?H?BDg{-bkUvX_AO)=u( ze%-=MV@muy_5M*ZEd_ftpYbhEv4K63PHkC=m0YhEllZ(aDm+G z^HJQQ#s5$OpKeF|f(p?>l&%;y$ZhcRRf6T_2xq7@$3M+-wADENLTIUS%s^kvMeY0yhPniy!+Rr)PzrU=B z69%53AMdUg&*=ysa*Hwj_ex7u^7ICxp#R*thG4Nv+L9T5$|Z%NAWtMUv`w#(0Q|R4 z!QKxzZq2l*^$KVPd)unbw14^e2*<7g#k#BlF@|GU{fls&mV++lM_zWu$7uX9EBvrg zG`9Jdp-bd^F&A{wVET*;Yef^@e>GS#A$PV|!IQHjuIgW{()h1N`vs}bJJav=CztKbal{5a<%_AsscpFw4bo?=dcP* z(GmXK*@O11=sMwIe&hG>^G!lUTg<)H8Q54FkTpygY{72YrWgL`3)Z!^2=9U8P|so~#n^{q{o$3t0E43lriJ?59PT5Sa2i z74xIp@X+?69up*fv0x-?D+X;he7hZAr5d+duwRN&cMOfZV|2KPkhL%3Ct-LKS_tGd zSA8&lvOoNrVXL}-G*nByCqj#!*__pAkb$Z)bubXCA+^>R8v{6D+!wAT|Bcl~BSNbJ zw;}O}COxH`9)ZwcE7%F*s{ww!^5e-2uKU*Efv`gG8;3uSGTi=oqh(YUf;067BKy>x`lUd3xou&Ic7VUW|+(g znO|(ZG{WuI+b`C(g>goxOjclW|Iqz;5p;?<1C}<337pIRQR%P|2IbC=4B|bHBw`I$;5=&74(HkF>sni)J8=_R|_i4(D zcH!(q2UHTT#H%?-5h=Awj#YdTC;LG3L#fT!6U+s%dGT8dsdxI535} zEsS)Zf z?`-Wt+{}29-vspYMXCk*jR~CsGG=KMwV07-u0Zi+r&YvlmmKDEX%lhW+&0u~4d%M(x zNBq5)j)41rKCk*J$}}}XG_H2$2K_$A0>w@c)8$J!RG{i8pD?k753QpFoPWQ?Rf19a zw9VK}1eW=8_#Ia#;Nq`!OdTc3Q1X8mcdd*|SJVWY&;P_ER4zzV=HCg2&Zr-BKDg%$ z}=pZtYL%mM;Y{H#?k;S)9rXhr_~`N!nW580YG67x)gT%6Qsz?uj^A0@FsH*FG}?TZrIIHFd|h4tJS|JsbvK7}KHZ-s z;K=u%n+5#Rk|=|rViG<>CCJxO1$mIkLjFsA)y^E(l4ZthTRJYp}s)rAEabCSoOIO{W@$?{|Jcqg^8I2qCDOXQ5G{M z{Eu?I03~`of2iTFuW4fCaQ``U#RSn&*~8s|j+;g}q!Qn05QX^!k=zs2dKo^Tk?Z<& z7!+SWv!KNI6Yjl%BR;OzU{5eJ&3v4OT+U?omXvMQR*&0qr-uYSzb1APtTy?Au8O1B z0wr1Lq;SxWSh^y;VA*VO)&%@ge5qKU~jyyhTCxhLk=rL9ZVu&O^5Ys@YnZWQcr%KEjg+j zA^o19&M09N@>lc1l>XHE6;~f&;*b_6Pk{%IUZwG=(+d*-B!&GbX)+#)XU|yKVk zG4F4Y;)RPk8=zv$CYM@T7Hff;y+akBUW2!& z1e~lqekqJ-IZ^Gruz=|zSh6i+2#p&hsx6W4#}9W;haD3oyO{Z(?42)!Fs!7yQ&s0s zgp0d3QNZhubkrG?2^h5_l>^wF%Ctwt@{8Yu`AqwsUdb?)rX@dY^Jd@9FMRb(ZUnvI z1Ew!&$}~9o9fS)Zs>Hkj7oLO#nDP1CABP{ zaPj3$1qT1jJO;#1S8dz3$=)}Qy9@pwyfrL!!7u+0M0h08jB%5`{@pC_wNs`(hIH%Q zWY3!2JTziju_R(HUzIYEs;!w;w+RUcV1IF0$4zCGUBkUy`9C2yN0tWSc>GEMQ`bp4 zz8P!gFjCKLx=K)gf~<9y%n+>T@n0Y*=>GqL<|`lYrKS=>e1H0b3(LpeAfsOy-(9f< zn6{GpA6P<5JQA%Ei^Z|6lZ&Dl;pqH5;*}qNB?OLbWl^Yu#suxfHDfg@#6FM@q<$3v z1SgXQseTszJA#hNTN?(J{kqdbWgC?UD30lqlor33;z>=}v%reHpJ!aQ{o(wILlYB= zBOP5ZA9Jx2un+@weR1*?{(WsuV9J+rc}}(OP1(?AJIO&*9n&8N;^BU6udr1RnFj!0 z*YG`WZEXHLQ~TZrI^#TU-%{L{;i0l?f z?ADNHtp2yz+vI_0TxqSd`0n&I8I6B7PVdW4)4SiTZ$FhC^xErsnm(qdcwnz+=Vr=D zpr&WO)M+lf&tY;gk@uVZ?kpz(M0zU-ygi7BpbEKhC<;^btR9RoefEgdatQoG%IY7D zxL^kpW@Pnq^B<6V)3$`U@T?I8@J>--TAz*#@))t=j z@YXz{6-7_v=uXzVQ;yu;7Z{sqGE?Jk5VL>mWlcQDpGdKYN@-u1692d2J0@)YFxDi% zN$kscBTg;ha?l&bnyzk!1mKKV(`#{(p^}}27Zo{mHsRQV>vw%CA}m+9%_%^n=x@28 zsAPErK{Vm(5Hc7+by_(*f&fF7=B(x`fGLun0hLYFnxQ663I)2SH);Y8EXy)irRREY zcWo!IrGKz^-7y-ZD759!V6inc{Y!LDsP$2@=hd-&Rw`rQ@b?(d*SjDpHHt^aZ~c1o zaTnY~8=YcPtXwW&bMWZu&ez>l>;n^jCU&TPgGLk`EBanOKnUww0C>nIG5ZVCC$AR!r&x}u;EdhzPTi(mhZ1QFAsE-t9S zgKT_0c&O1eo%`!_+@|QgYuS&XcKF0_>zUSL0X6nBz5?Ltk~shM=PXK1o6$iJiCBkn zk%ApQ;kOCmncRCd|LB;*_1|DtzE88$m#qQUa8o)w`?GDnn8&g|gH`d>s-pCgtITqN zT57Av^pbgwaW@)4h!l3cMgj`(#?EX{=P(`EC@P;xjo^j zD!C`Mn5F+Kj|C9^S{+Y>=&_zkX;>?x%tiB?SVPlyqkY2j^sRP-#%FZhz%fI#KeDy( zf_o2Aq>-7 ze_jUi=-aupChY*Rv|vBg13sIg5keALe5M&-jQg{@EDozcE%zed`M4gmxkt~e#hX%j z5s$i)4`}Qg{FlVyf(owm`oEJ{sJ-cB{`^1kRuugHU+F9w_^Ez4DF1NWoUvrdr2h3n z8-d9Ozfah|Ls`S@n9=s-1%A*xG#t8^+3u!Dp%pXxYrtG-gJ+M^S4%<=1tsMHtE}l= z(4Zw^J+7VCn(@&`t%Yuqh%oFzB^6JRk&x*Q9nBt!b`GjhJOs*t(upw5gP`iYW)`Un z&Bs708fw^uLEgQ5TXb03{FIf$Wj9GkP?go6fK=k)%tZI?GjT~y3O2Sfu>_WI%z?

dce z-9t9q(|QE`eSC(WDm|T7nV;z{huV||7X8Skyo8kJ<(#z+)#e0UT2LK4cp2?@1^;;K zW_BOMkCd|+GGyxsarLTxmF#Vf%xj}v8xZ0U6mVO#cp8h9x~#^`_#0WLz$w%eiGY3d z-f&O!Ctg<~`&_e&ZagUPyD*zHfE2abA-Q{64glwlt4)GCe7BjTjeJ0Qugz%Q*xY%) z>2v-+)jfZjnY|fsZ?yFTJ3s$;i6jj<2+xUZYE*!$!vCtFd#N~U57wHQIRVY&sZsOQ zz|uwIXD?lx*Xe}yTWh9@ePvwv82%^<(baSssZa58M|tNSGU-yZFWsD0HCs`Gu9>Vw z+gRe1a}sTq2G?O_xwJ)6vLcvZaX}@iGlE`Rcp=3-ifr*^$RAAI?fYCJ>@~hJzUu?N z^10S)u2Z6Kz6%$s$`_lsPcLSgTY$KX`L*5E)1MPG)rm`Nr9$@mEYDG6DzWyFW7l4F zq#Hur)3i?7#7d(m-T^LBEvL$M;!lvho_@2hZr0@Jim4=`In@CA=E?^*E#S^;ytOkV z9G)|pJYLVWM2dwPQ$>gY`d13%%Pjc(3xxb%Rs3e%Wy{_UQ4HQyqMA8WHArbWf5sOk z9>o{VMR%1Mx&pm2x@JuRWi?dj%juXLj@WU*8gq_GEV_pqrJ!5QHJl&Ca<7d6?vG0` z2L8_>-KCFaeomTBf=x3(dUc6shnEeVr?GFVN!sp3kPl$JvRxZL8N1iXv0f#PPr#r# z%yfyLQF9#i`LW*PWoFkA6~Q(?A^XFI8n!YG=I`pv@5$+SuO`uIoZbZMv06JUdL&1J z)HhUm?uDM783)~sHV4xe$p@^*rg8gsOoxo+ne5eT2$Jas`1{LWh;uc z?*AdWfw#MMxp;N&1!6UL7Rf>&?)I+ODQM;~3tOrgL$vpvQuX#r);n&p`!{-^DWq07 zPf9EkDUqL+8oPNZl%F<$pdxt`We6&kSCSsXbeL1mE_@U$Wxm0$j)DY3ap@A=K9L*# zQ+-rOzslg)<+d7g=)-jAONavbe=ABow|DLrfBS@n4Rap6p2)XsDi9!()HK8Kie=XFzdM>E`PzH zE_$poIX!WGgJ*Ev4O(xa_hVn^*f4ByF{f$$%XK}k-EPEEk!brZ1L$B>YGnU*fJ5Gb< z^lv)Mzcm;Jcp0FQm%fY@*DuK#FGW}^(c3l8gMF>XEVij+cIEZnM;2y=-Y_FtsHl_V z;EI#h%EK3b)A?x)7$dLAA3h`Q?$*r5$6LW~GzL0U{_lN!EDExwo|mWyt_Lt3jC|8!x5ga^f$0+WvIv~wG74i zN^8FCuWW*ry0u0VS(B=1=SqVAVM2m9%@QwlHHzKLbqpCY=y7MddjYZ zF*z?guRbnNRh0^tQ8Kw_)$BEAoIR9L^6LF)n~XVj)L@)7(3)?YE}a%IGVL%{J!#{x z*Ti*goHpvatG?=Km#vMH+v}~z4WUrm z(=uMwIMh>4XHPaLPr4=+T$9bShGh82?$XMhf6;WBX;QTm(VoIos9@)O2PzI|Pv5;i zs8uh~e{d5_*YhcFu>EVp%2=J4pYPeDGHt88ba)uCehUKPkLy%!sE^%H-gHd(1~l2`QJ+s4#T7+cXqnMt zm&?>l(#VIPM7fNwiAC-4TFB@N@l^|ksC573DHXY&cI9ZAVa6hEnp;(zZ=7q6gv+wM zm2N(w(5Yf$Ou>sO24*)ftk42L)j(-^dXZ!)KKd9xAQ3X7!meEW*kbMbc1gYh<%CAH z>gW;~GNQqwi(BxWY8TADueZ9vG7GU!(w7KEpBq^lOYCEN|8k9vmpq+um!H8{>3z^* z3Gyu#-81=veSCi3Jd1E5ZhrPX;(XBb>Zxm$*QiT3192xUb;?J4UE1G62$})LjN=immMYoEcohdLyv0Qtc9(&cYt%rZ`lAg2O zj>-7mVL3?VzY(plq`$bmU<^9!;$qR$16f5@$m{WiXoIw_)PFyeX#msd96Yh5OvbCn z2;|Z*UdNPSD*R%E&sZvF)2(ILQHGPX*v`9Cr};AOP2@lAR!$u!2{P{`#1(%@ey`hq zL|T^Y>VY74%55_<~|NodfCkQ{$1+OuoSAZnTmNFsPUqo z^(|lUeO2N!({5I<=wREMdWn8BQOZp}UP{aew==jtG`{Al&5r0}KG@j@t|8pX$((*l zzIKQZbgQKK6BSTi%5Pwn0_aG_AR!m zIMq*s7;$rsCUB@;a9FfN&A0WfWBUH5f6%i_@^kcV-tqpJLMT|$6a=2O=AJU1pE8!4 zuqLSOC^!8T3?#67eC4^G%C_CFIWb~5C1h)U72ifa?eguuQfer&Xw!M9vCf%ZL7j;B z8I)Dxn`5Z^mD2%dr|2E%e)V=Z-n}bS$#tK3FZtF1{f)G2#SLr^l`i^9&iypeS#n5A z7l%dQ`fg(fmF&QVNr{ELazQI|DWOpprPAC+EgTo?P%(I-RrNgH(s#mj_C~!5&#=`T zYgapZH+rJpblPt17alB%4(mUJ|k3Vs(a&?VgsMKOPRp`@pj=kRR`( z-DCJBac7ODB}UMcvOz)}(w$PXVzgVs@aM)35+lehD7Z^*8 znErBZnD({fuz34ClNros|CYv0oW=EFG9zYhvNwEkMA*53rF(UFeWGC#z(AkzI5@d> zLSI8iZogT9$Dd%2 z$u6v0h;K;6nC8}d>t+ct*HdlwgMk8Avbze6SI*Q%m9xy-p4-ZKrx3Tw zHLoSpTTQt__ux{4Iz^*#A}HJ7HTuf!M`wufHll3f_NQ+DbClbGZsE5|8LZbW&r_SR2CuX|@I2KyhrR0jMFCZJ zwKYj)MI(J^c}bFV_QM}=aJRz^(JSg!Lzj#Ty?tSo2t|%&mpX|MHs9$~x+HPu_1UMW zgLl!jr#l1cDbOWm zukK_Qari?pM{rwQ-xDOoEL~w>lNF)oc@>brnuOhU@$gacmmJlhDN>cdmU`FS=5r3{ zs+T{fd->s2n8RAPX7rgj-2WZCe+#-l+$ZL&EMwL;Oze&6t`;z8)H>GwCTHn?v{({s z`QE{pfa(uBk1KeRMt3R2a-P3>y#?&DS1TqCub5U-0Nsz@6TD>;4aGKbrl9)=wkffW zZJMdRpiR7o{Ft^#q9aK0h9l@Kq5iupGkYNP)EKsOrRpje?5}zMtlo~^uXN;VAX*gU z^r9hIrrGlB?8+Pb66o}ztI@?cXoIMxrY3O;_WU)ar8tw$^ETJDvG+y8rlyi(BVyZO=U{)Z z1+u@(8!#HXvba=@&_4^<#Vqo!?44^9;m01`vh!JJxgXPv7i+jCYsQtx4^`>|b>oa? zW)%25Yet<&@XK&_N#Zr4t5f8BEGW_IT)n;_T5Ikd| zn;qdfPhQZ}8Hmt-KM)&#HH`;*=YHoszRjv7zLXkH2$q!U$bkE0-Q@IYn1A@>Iv8T`Nngy2=p!KE#?~_f-mPNjcGF+t2l~ z!5>8cdeJAJJc`2Aju>kclk2Sg+8uURt!YPGuSDRdTtwNRNBD)eOTuq6Jg+K2V%x)z z@XI&&Nse5wQ==;z?NO-$p1>dJO#sF%SnGH&FRp2*}Xqkp~3eQq&S5b$lM3|M1LVt|# zd`ZdzX|%>vZtV(dbkb)~P}`JKrJG=lfLdn6ivsvQgTwnei|X8xitlk|)}##6!~HTZ zVP8)6r2k&{v2oKUXW_ZfNOXJ*O__(&dr~3OWPnvdTyl z9BvP5B&c&t=!10GWtx(~!)^)cjZ;5Bzgc7?Zjr9Q#WHl-W2nORezT~*Ycy2;%z}P% z_U3m+-hi9&uvufSz|mR^eFVqDf(_pWxFHS==5+wadSa9bW3+8dVWRXwvH>fQ09%Aa zWW%ecEp`OS{=?+}1NQdBZNXtmsB*J1l{0>=fk60_lMN z<-ih?x>3?=A!Z6Pmi<&>J;+$5c!k>`W68V*xw1z{@Zh{0*keaHGCj@qL&oy^s$f5* zt8!p`P@BBtRH_D{jmB#p>Ipa^k?Zktpht>x>$MysgyoE8-2nBZF(Oep`QgBT6sNZt zVE8piSA3oH`wxiFei#Z4BJ9Y5!L?1cscLkA5~JH8tImc-s)~(h_5R3GDav#;&;NZJ z($*mdN>Uxt_9qOk7Ael<=g)@fLAnF{6yIkd!t)uZp?|^x7+jlVn^QMeC@`d>O;+g0 zcXZ71q=+|ZpZ&9Ilm3(w?3~6J(nhz27M49;onl$YgK(Plp-nS!pP-wbFEcn_X_kt8 za7rpe%xWCFLHxL9Ik9F%1TUTTXgPgGz*=uO5UTj=jY)H$5MnlAk9tR?fQ^_{OkX`z z@hECsCKj}O3Tb|CC9)x$c#T8M0mcY2^hBZ-x`M49RD)@#rQPv|R7NrJ{&n0QLOimy zKh02kW8u1~*JntE*%R@WP3s?0nZ?9EY@+qRK*U$oP}s6Zx(odSP}nj6732h%Zw#d3 z-X*ZL;-aA^Q=bjX3eB*vJ@-D=${S0m_hv#R%K=nAxP16VN-A!?|EX40G<1Lo-Y}`K zF({~D7rHWe1#Q&;zb8E$!TM^OniE;r@@7iv(Jh~4DP6Z{EE1Eb4G;Q+le5CP{DHz2 z*)Ru*zOl;>`XuFnL4D{i)Mx2ZQS>EP#~JY=`f*{w?_$LPNos>v4)A|!k?0fs9iXHr zgb;TPFnd(sHE7ATlc|j zX1?bDW{hv^k5=>rbDuRuAulHaw80XGC>3lEj;%pZ_oc(pqNdu@_;VnN!l-DGLS%uZ zc@cU|#3vPw7G*1ws%MqPTGcR|hkhDu?XrQ4XIadu1Nt$w-SWV$Z+r_uOW62E_Nj<= z04#i?Qz=WEJk*-^?yvlaI)AJDe+S)Rr8n-qx8gqQSO&f)7(Y1Vp}i@E9@+;{6=?a8 z?7=~lPqR~rQWLH#42~*97|C$$iqNy~f?0=TDUGx6hQ84!h2E*)f-BYY&6lNEva{8? z41rcAMQ&*FwF_YZzfnx`lN1TMrVvcxAviu8*~r;UvN6386hK^cD9>>bymzhG@yK-2&|o54EcdBDn7drB7!a&Y3lvJ z@=Y`}ZsId>ur%N@ef6+k8J-k>l!-W41~ALv@yzl<%PLt%+KAmg9D>FsZfaVJQeYM; zeXLI`IfklYA?AxqDJE_l^2j0?96Is&-l!4@t?bKoKMx+6Gyh!3C7U>fM?4CKY3RtA zDKV8S>gl4;V2BKxc%gkcN&=*x4G!Ys5mXhadB0RTF>!aC2Ns3k&=aN`qjn^;RlS{l zBs{YEUn?PLY~log&n$AmmS7uVb2(JIxvr@YUdV7fI#FD5ycHg`@vf#m=P&AlBl~VbD(1$V>zX@BN!x;QcSGY!;JXCi)On|lg#6sujLkIT!vAi z@wm&9%;BT3e4-}tqrbIArLR&ayTK5ttXB=Q zRD!Eplcj|DjWK{vpX8~3_pxqCmNGlRinX#qH7q;_qu-l8NqX*$hU;6II6n{J;802A ztDg`P+R84V`P&B7+$u-7LUK!(dQ+%zLy;oIjx?+eqZ=9dI{Auu83rvLTCclY*2w4# z!2R2ixT>~P?Yt^={Ty9@UNT=?@-c$D&S9hGG2KTW1~)RZb$$V%&AuOM@j>J#K`*>_2ZCX&kp+$-d&tojn+J}uP#w{$- zT1Sm7M!3WD8FK_{35)W*@bpQoHm11LkSV8mi1L^fp|_oY`>Y_7+MPq4f=r64hK|Rk z2tBL=tB;pHX~cvYXG*6`9DhH=F^}zw!+{bohlNRJgNp5CASmMBL?;oq;~^6@wFPKG z22IW_)@ofgZ>}by+prLpsf)DT4iOeP0JtGS`VXS5R%IAghuD3LkU4bf0a~hD*VH^1 zLir)npUNiv4ViDp3CiE>BE_BEuc-HoZfim!02GKS^Q)+*Wf_LoAyFSW)?5)6KrWzHgBvsb7iG=z2Ht9 z_{-g>v0fEE!miKKuDMX;o7G z=KAAIuQn}RS0~DsswA?f&qA*C!0__(1F`**?sDHUc`d$ZQtNUJOucNbDKQ(A&Cnac z(A?wsp&uqH1_j7x66#+H4yeS$Z8OLZn8d^bHOUT0#l$ml$PZ}6#2YQh4j?8vITfDU zf`o=8z#bsPCcbc;$MQ6W8n+gWc9TG&okfgjk_!>mYK!>ekMq(o!#Wf9d5X7q7RHd~~Dc$a$^m6hJJhR(2|M?F|_?j;Es1J(yk+RRF>uw=VBQwAqa< ztpGArCiq}9-EP(DPlX;mov)C1P#sm2AQF7M2@ovoq+syZsY)iPG>ytFai$m=OG&=k{r9018vhD6Z#ZS_vFEw@J(CD-^z4j31ddnn+ zaBhiFJBNUz^ zsIbWQ57C0J$asaFsp;*Gy7sa@+^?FMo=+clBg|%~k++`yG$`Gw&2+w6zJ0W*;?lh@ z2#Nw`EJMEfBr7IQUM$TDu&~${VwSkHRml4XN=GH>%Z*!!?d%%!KTC$jB}4%!{48>^rdyDkqockv+ad{D#P5W;F{K0K4HSKbMaZoeiG zxFH(WCTR;N#^r;ck?J%`tiD2tLApF5=wZ=uOMd#q@>{lw_*Q!M0!nhFe4c~tdtCDo z5;CG;VilhpISlTr{Ay))uHs&IO-24BYh>LrwUsZF$>}XEK0yzUk6-%GN0v=sj`Z9N z57o-C8V5sk*xeIh6QW_f#DkY#a`O<&s%Vz5X+m))NWMwK!m68iKAScJxVQMkn)Py> zm`ebXZxOpO&&j0*P1K59Rk4elen_ZA;hfd|Fr!LSt5^-C_g18#IBfqNmnR{T=~VYYY1b068TpZ;7-tpYUxD{vSF9?OzX285kH?Ou za1{>C?2T-p6+n>^hA);Hbu6LOK#xMZ^KYo)YAq6JbIE41bKxZEZHxk@wt^EV`E5xd zkx?PLB8|0Iu}!xu%%RCZqIxc(a~GfRw;KPBzn64tz!Tx(}2 z8=tw_W=3DbAAiohydOsBtTEWfB5v%);+mmggAsWthStP%4`@RMLVZk7J9lDnJsc47 zTXZ@kB4fEi$H{7Xwf*$Ys8)1s(aB=ol zV<2up1Ye_FjG}+13R!+R?PHLVFN$NDNH%!_I42^y7}WY40+-bzQtX*=sIbxESYOeW zQKGo!grpbs;ausyhiT6-qE4oBG4kT}z<6{CaD^_t9ZEwXHnrFFH@3H7_mI(&uboFh zVawso{(n4uXIN9u(>99IH6SIS1u4>71nEV(bOEUXLQskz5Iy+~K-NDBx; zfPnNW0)q73dlP*&zyEu^elXV|v-i&4GqW>i_w32vZIbe~3*ALE^4z3VB_i$onzmdw zk>DqW>T=}A#Ad?X??m0jgN<*inn|-ZKQ-r|bO`9bTCQ%d`KyD>ri5zH^V4NB9+fs? zFNNU4+p0RAWmn3TOcq*j^Xr#f!2M6$)(;{HMj`sXPUeS$okZ7R&ZANE&NWWdq)(P8 z>Ka&@*%?iE|78$eXRc^r_qLnWyW-YnCdFwYI2lhA+tou);l&qh2W|?mzb>&zBExC7 z+FMv5Ghr2O>cwW*j!Wt9Uxe?k3*l$(E!9xB;feIR%ay5XFH70AQ7r!iuMwi0DJF=* z+H#5dH0zY9h1$mclME*k{thH1iLtz?KC8yNzt5WjnqDVYOFkXTJ9v8mMQKJyNlqrA z%%h{AlcsKJ;G@qS%dgf(xqQ_!o?}jTkE2wZW|#Yv$@L!LL&mlK(S!S{3eOIHL6`$Z z7KRNCGuwrZlM=j36#lgmL5Q*7#+dvmLt6$#-FL11n_CQz#OST83%EJ!8yJK=DmUpX z@0h=;^2lxydRMARnHLK48}~>*UHTDx{c5@LQ5P*%l;A}v4X+P9eN_MaU+%d+Mx zIegCI(j0H;W|?kQ^V;2LbS_g{HC!?B6Y5G(pLWQNeiYMJ{k17v7B7I>cE&zzxZxGZ zd>m~$_icOTZ>7G#EK=;JYaj{a8i(yHrT1fMaxwkc3MDQV{BGOL8?RsIthz69yiE{I zWmM(3#V#%xrK)h*aW{RlxngHH#<1 z{pt*&6EiK|b%=nKukGRFvB_HNZ9Ar0Mrq{5UbgQV5t}7B9d*6ox4;(8R|XI2 zK@&SMt0>o(wso8ZL!MBR?*UIyTW+@bK>Ek0j=GNUDIopTa?Fk(9SA+@0@7QawjY4B zHT0;PAniWeZ2D-hiC)rZHFYj#Gm0`P?~VOxIb~8)D%)c-v!(; zElNJP9zA}6zMgKs{f3hDQB{w|U(QCRMiIY_meeG{&pCH6GTSW-&JWeJ&NIG?<-F|X zD}g91x6DF?;vb%P->2M*ru>-qhGF&zRPUif4$L<5>spJDbW;C@8q}g;q1ow3xNcxb z#z9T*JiG4~@+SMos_EW_TfhBZXmxL}BGQtKEbQ4{NABl87Z6F~tLA@OXz;^>uhDp9 z2%{qSAb-h~C2;l5qH`>7HEKSp(%>S+b++Arq0mr3GFJNh=URR>bH}2(wqMfb6t+{@ z`00APP~E>d69*niS6SvC^Us&tS%EOA1dL%FlU8tg-OGZ@QPw=frC~q~LtPfpG z!d6`##5E-`=bC-e3Ydg#ZahLal`-2bsB7moymIZa%jQ4yvimj}wCm6q34Ug2{1f~i zktD;H`w1j<72n6382;HvGIV1S+w)te#=4Y^nbz7RfZ8V>@0ovPVAgga?slY<#!g|( zvKbf7yKx?NNt2FF4Kkw`F+jX2~>e~2>KuixwqSec-GfzzRyR48l&MSJ2U5kC)W~E zPj{0^n@Wdh+2DIKl7%bTkT~xhNa0EaL_fJ4CV4Vg1*Z(GjE0{M#E=(_jKnRykotTf z`(c>@oIAsD^l$!wb%v^C{yIUVlcMEn5A3c$z{&2-4qonJLqA3JeVez-CV?@wEy#uW zjxpb9gKw@7hc@Cj`tGEPqvd9A7yX2b9V$3FORUVgk!rEBXBDydXgaSWJK{Lw&qZv^ z=Ee8icoZde%@XQQD(bAq`^6+i17C!yNBOvX+JNqRIME-zp1m6`rNoMqf}Q1PrsoAk zs?ZP7HAc454+&n-$3B4d4Z1;NO`?jN@=3vOM&0IF4WsPnb^8*%q>9Q>E*@&&)ia5r z^=QEu(a&*Vj^;eVrPPMiASUd3!$OcglPEE9*=TqAIl;r78UJu84ZjBLefH7A>2nSBi5-tlK-q+N+~l1xpId z4JPUAIiCzQeIyQ?q%aTs{IAb%`^Rw8n@`7~af;44Ltl7ZwKc2n)x^!kzSp7a%ruxx zc-P6{BNO6hc-xrEOV#a>RsnipmkfTdQ|B!U15Sl6Md%$Tr#IIvceNLuu(N|CDBX~-)7V8BuY=$eSZIE;g%Htb^DJFzqz5sX=0(Si}zVJ*}mb6{=l#xm4+ z8;Q*P&lC~myDWE1Mc-pghZ{vBP$-0)Y_^RQv;F{%Ouc-Ui#6JYi&=kgmQ1~3m&z&% zDbCzKNORS0%=-?jv1lS1jhMH+IzNWh`l$lzZ>OzN#a)re%;RC#c|7k_565#!WM*HY z(XpSGmSMxwNm%R7D<^m1@xh00LY!PI^E)XDDtE6OLhMMG^@k3q?Z52OSy9+BFzbUe z-Ie3s5wU#9t?iW_x5DaNyu$l(@(#i7Ah@x5tk>zHnRrq$9y=cScYOFr#LYk01ujOLW3RC~Trm0Z}orHn3dixe)uvWg=t-cm+m zJx2ee8}SVD^EEV3%ODQJ{IHcJBJnywj4E(~c- z!0a~b!^YC^e|C!7tY8Hw5^&M`r%=zq|Gfv z;x^psh|f2kU{rDz&L~i_t(qNTWMWZ&2w%Pu*u-f`QPz>|^F?$_hn9iuYT|b#^=yx?>?S)1NiP}J| zuost;7TE>3j4q8BXcG3ybFv^K2A2_~;iBcjUXPt#P=$iaSnf7a>~$|L<5b4hH{eDq z>lT8lq?=XKf~mC}T;`CoQ3~Sp70k%>}=M#Xfr*CT`z&=6f{C9T;Yh56~ zCK%{^2Cz?QT1E)4-AXz|DhUpbK6JzDZYqfu>WJLyk_(P1SH!^OohdR~lQAr9(bUfC zzsG}5h0=sI5WgY@f-pRqoO8*XoQC3Zv30Xa*Ay2lxb{9!nhxT5m%@b<%XkB^IRS;J zu5c$2i?2i1jED1i8Gg~JpF2SeCZ8b$-_r0+z*`NOmH)9wYdRy%xH%20gd-LuRArvq zIZz2aR*m+=mk)72J1zTIYZF8Mj6_68oqb=J~S)|9MTrlI0T=et~{rduu2WD_5-Y>c)%53$i5+$W?C-xrkdf1d^FmSOZOoM_VLvH zy?fCU5h!!@1xL**rjJ$cOrhrrUmEerHHXe)xi1-tbH%QY2LE+iax`L*N|h}1$IaG%95Q-XluhzmFlcCS7Z2@8$slIAuEk}G(Sn%+Rxdg$ zj)}<@pzRy@1gl5b?#{*K$kLV;gc}^p;O6)&=+yK1^0<$?%blLpYfFV}(X21C5d}SUibjB*SRr=2 z0O7T01Y%b3Wi(O>^fZi2W&LdFTNxZb@+lID<2jVU;7sIB)LsTv-Zk(b=b|`8FJ7dWIt-7)`m>&-`o5xX0kTQDi}nfLiKS zy=a1il$BZ~y^dWAztw%w404{8oDfDGDa~c&0pAV zWfP-{7c0zD89HPb1b!bMbDH(r4U5Y}T$7VfaX^lJx2yD!h|m?3NXU9+;IdSq`INPl z0YFF~Tox;wp3=8U00?KW2~uHhaRYxHo|Y?&*jT9eF>)uuO3=hZLVj+n*Uoqi-T{ZF zWvL-H7ArI@SXvbT!d1k^a)nbWTk9o&U}V6uP+=~^if0E1)+m-GfFKsjDQI$VWz$ls z%Z|26DArC+LQ{55s?W~ILnxM3PC{35PO8&RtV$r(NKQiAZ%(S$&b>-LR!puY)w3Oy zh_^3t^kP}|F4pp!m+A)yav_ue0gA+aw==4eiq!@P+H=?*fN(QbKu{tnOPIzIs8>(d zeg~db;7Vh;T*0`;gu4b$%ip4zT&R#{g0%|4AL@o8vAxKmB1bnWF95EB#CF@oi;GA2 z$w{bb=VAeSRZ`KUfW20v_jfyY56K7(piyG`u9TtAKtZ}OEi#zbu{!#wZHLRyr)w;= zG$)*09Put!Wi)BohvSVwz zy9Y-|jY~sn-_=3kE*D~cOiYgaPpsqZUgZ@~#K_RAYDfs%Zzy>n=QStT)Ez+Wz^UY6 zJMZ)qu51cwhfpOCyLt0^I#n-FJCM^H*nrwWF)VXZ;!T!;!ND98l(zk=(t-UvKkM}z z2&mjbZ=QVtl^aD4o8%DJR8*EC$oRcvByOwXhjGZ8Nqqxvcu7#Jf>szC(WJh?46+DO zCxreqYx{kWyj=~bKq^gT!np}s={j)2aCz~@ReT|DK_3pTvruM(dKcqBQwH! zyem)@P0;@6_~Obi)+3c$O*BK>!O@CL4C|3tpe7oxZ905FW{+6)ps#*yBavkC*_q58 z>k;A^fk@KkaVib*v6NAf&q?mjuN+~Iz6z?H#vHTnd1Brm(#Qs0k-CL9L2cU$?yjs5zq^ zbz2oIp|TYM)U=U}B50Fymk`AcsiWU$V{M3&^oCBzBwnmi$&({#3AGcMg!?K>HN=)O zskGoayUP>q@>IA+1;(kqK3e!nMTU0K2qKKtQbs9>egl+C^~^28pj?us@N{1lx1vNs zK#zwvk6x?_PLUzmK##pQkK9+E*5}Lw(`FQDa$OZ#%9pp3S`l~V9W)*>!Ldt}8Zuvv z9LFZNgt>)bcvjzr7}oo080sykhFxJvSRb8%Mlxad zRj#R6LMb>HPbdY&t1+My?7LqE1Eru~N$dg6)wrU0JV=WBvrLwhi0z>goz(Hx*OF2)T z)MrR}Ro6~OMx&cvd>*t_R&wVS;-2ZI1EnB;HCZmO*!^x%3zXBYD`oB-P~q*1P5{rA zzdw+u?Xcnq+XQ};8Znruy}WV{#)|d0bB#QkGoE4i@EW_v+z@{WdA1nv*lSm(2-CR~WgajM^`iXm>7+UB6?*{BT z1m4BMoUVH)pQkB2t*T?XhC#|CF|=Y}_HL_JN?zM=1K;DmS_x=4;!ExYy<6Z|K$!T^ z_dFRQAM6xJ?f}L1XB!1@?;w1Y62xs^WceEqx3(}eh}(8Pvz~l0adjMsvwSsMLY%F^ z+6i$+s$$lchaYjw4xtA+veFd;9w$m|=s|aPuNKvL#4UpOU1@SAny z(~7I&d_nZyvUQmm;+vppQ3p=Z$nG*X#5j>-BL)J^a5R3QuXeulIOXZLfSyaf^nll}D00eAb5f zrMSh`M^wa-n;I>P9?3ROJd(|Ok{fNWifU%}x@8t)ts?b3AKV?cunT8oU81-S0swP; zmJ9?yJ5E{34>~Af*$__E+}&_WngLB z!oT@?jvs*k<}F3c(v@IlWI&lP2y+#krFt1V!%f>~SVraw@U+ZWaL+*1vu-p3_UQg_srBz3=vc+`%zFgj7dLd!AnIaf|dCT{|aWjG10;3Af5G18t`TO)G81 zG5a%I*J866Y4wcKcvHn@saPqpuY#K9@>L-~)hNFsK2(hVn&ChyC||H45}0W47m<^3Z?gm>2A{FZ8o={SL4Z?b)jd4fU42NsWC)YM@q?E zWu+@D-h(H`RYyuqAZMj7%)Lq^46cJsRgV~mCtWUd3?gexE?+5DUg-^^JrE630KFNt ztbpD;w3QS=)n!d_1~hzWDjH1g;Pl`MG0;$5Lo^CdoJ>Zt4}bsEf)!7lIlIQs#EiQS zs7|H^W#bjrYqr2xL&enUqO)|p*KL8ZhT}!m5wleC*KNf?NxDtlE;`F{4Pq+@>Ngtp zn98EfmTBR%e~#Kcd+P0o%#K2FnOJf;lO6|T@^`!VD#Zv*P(I|&CHH{hi#wKY7p&LH zeqpp=Ykk0wnvX%~*kwzn!dg8TEOh7Xl4+9u);!L!4v^Vw*@0q$Uac#N7q}|8!~~hY zPSSkz@1-&E#6}Bu{2yK2-|`W?^e?I}9mXQH!{r8pJyk2Jl`~!LO6HE4uij1Hied?1-6Yq0UT zLFw_FH=UmVJ;wsA!A9AGsAN(#RF)xohyo)XrxhOMdzUVfpPpl*0KroFAPRe~ipn!& zzq}2_4{C*zl|QHJ;HM*}nTg_!HRV?=B+)?S7_xJ)(c(KnQ-2S-kD%$^5h5Kl{r(H@ zRsg=-W@sHJe5p*gO!#uwARTo2oks(et^Ahmt$y?zGGAF$;a0TvZW*tB0^eE@-)7y| zdcpWGz;c>!5415 zx^)9J8L45y|MvB|uw0Gd>j!dfvzmXvvig$glT8s)2j?$`zXOXDbYJG;yQdAU3vbfa zrK(y4m5uuCmz)`kcVn!n?&mYQ9t57Ib)m1{?0uOV7musG(=Rr)(oJNXx~Z6z&e`7)k(xzWgQ9ybW(@K#3S%*@!7d4LW>S*Z1I0NZxu9isz=^q$og0y5k zDjrCWV;&NuYq6+@_V@q9$N5AuKWo~eDy-_ocs@$<2J*i!M+EuWuY<3E{4ge#An#Q- zsA(^16yMp=(2rpU=<=>q2>{(=0Aov#KCVZk1L96-E){xw z$4C=ciT#TBNnpiRatU!aA+{bdO<)Bf$zaB)lP7kk)ACDAkiup=IG{hIDJk*LN8*)7 zA4Z6PuU}V@0C3td&j|Sa^%Yk3u-|KHrH{zCep5Zpl#2jOEa@vlfaX+h1R#FMk#&OjH`rkpRpQxlhii;oFu%Nq zT|fSuu&%(qj$uC*MtDXe&AMR#l#5)KreS=pe zF#=YIj0z(Jy8!<_>Zg?(TLHH5i6Kn`qo0=> z+W=(yG>|@gOrm|U{}>VroQK=j&2p)3Oi_P-CXAr4COrLdFu(5Z%zJ{upW*3=1ck-q zv4mjV!pZ-qa7XAlL172)onWAFcW5L*VF$mRdjy61kF&aq`m(g#{u3rdm% z3iss*zF;w<1R+pJDZj!eX14Yr$ybyRt7D;v+x_^zKH;Qx8B}WskzE@WFzOW2d4kPF zpt-+Ig-lx9e=t6~(;$9}I$l$xJt$HsdOQzG`_0bao|vX-L-LBFVcdBLG+rt%`Mxh= ze6Wt;^odBpJ>5j>CqEm_+S&2xL+C}rWqi`Okcf#?>Ii4k5?<>%UqOO(@e7YqwZLKY z55xF;=!BKX(TjVC$^+zw5ue?Jqh|C)EcfB}ZEsYtN_1yFl$15Y`R(-a+?$cdtJOzi zXaN?(9+5^Y|4VECiaYzWskxf+dm7f3%Wsg;tN3dg%N7f|0a(5}=Du~+2ib>SjCoqU z0gn4tDf@HlB^byvR^7F?wL96Ykqh1%Qg`dhG;D!fM_^Amp4>lA-17Q71)5SS~3OY8~{MQa_ z=e#h>uXR5Cb-s7n6T4?i3}L9tm}#|#3x1L9i^Ry8EqGNy(!9&R@0hXGh}t5e4{Ze? z4Ce6pRtZoKc(c{WHiPN>_J?K0Io4LNJGcDW>oVAu%bah02^ZXx8;)cK5I!}K+T?Pr z{Qzt)5OFwlizG+yeuj80mjA_>EA)5!uk6b+2<|nsNB$*9CqM#x%D?ZwU_09ddwK~9 zR5mUAC5N^*0j~9I>rK$_Q#O)r+Y9GP|4hk~@+hzy_ z1t7a|snr9J?T3&23{*bOfeaJKe!^xiYMW4cE*ejY7JRg4%RtNEZp>yc+gv>#&8)C7 z2ew0x{l~h&u(nUi(*Oa}2euGEz_-m-1;9@L+Ywar_J+Jx}-lXt`e@NFe$LU@zPIdY1bSt!fSDJhchs#C!aKoS+sVm-DACQLJ} zaH_|_$n+W9O@eTT983@h%gHX{9)K>%Aq8MgPwMOQ6`dlaIZcQ?Nd9_$qQitV#|W|S zq)JTe74|NVxD;*uJG2^19BP%_@M3q60Q`UdHwB{ME^Z(J_;-e4Kmxd0=?rJF4@Tab z#nFHvz8Keq#Qv9t)^G@*91Wbsiy+(@N^3$Q_PH?Ch5Mn=L`l;+P6%l84B|@2pa;#N zvV=)*+uJSaQnW!7>2W%knWm3tz7euf;D%DibLmii`Gc(CT0*9C7ZZ^oB+bmxE;klmbd=j;C^twB(*h<`^j{4Z$@8vZY7y&bvS{>b)kP_hr>8RZsG2T^4p7+{X2L-GtH;VoY zy4|(baR&yH!=YCR>D(t8DgbzjZ!o(>Nar8@DkcbS@>paPxJiL;#VEl|#6?Ddo1871 zTeH{%^Y`w|Wf9VO<~p98;H+YeQayy~6Hi0%KlcVHenKvSdZRK3wI`bSzg$!Sl_cb% z{^L0YFqmv;?SHvwZ!VT#aqA_uug_&e`Gt0KE^2QPQnQwbj1(B9^_rRn808BQ8EG&| zYj*X<|8&McRe;V#4KQwk&OiMSlLVcgBGy2CP(q&zBGRH~z3r1JfxhQ^$m^iTP^kNV zIp0KN>A!&F{jX^1{a?}KgZ_U-6RWigs8^otBhiEb{@%o!5C-@QPbiwIjQ&?NZQscK z{k%K2?7hs&g?0Me8~)A1xhiA!UM|+XxfqbJwgQj~-R#CPHzU5q_Emp}L|2rJJpP=f zu!dK;{&~n&1g@C@m0hE?mh%+o+@Y5aL|=WRCNX%|Fsn2Zx!0T3Gzl5AvOG;<$xnjN%SQi!`it6uh)P zS;<08Y!2MDnXHCT{t{>-Ut`6^QlQ>l$Cg(rrx&c#Y!p&EI2v5}D$oYjY;c(rsMrFH zidtn$-2jd;=ojsw{RRuSwkw7+X;c(T*8VauZ=}2jf=#V2{M5y}^K5>%%lUD$|0P?3CZA0XM{^`k zJI6S3wvvC9dvw30ngbEez9G@|K!&aZo-3$CIzQ(8{?@7`)p^Vpx+%0>lR;v^Q5dnh zd4xneYJj(UC_?Hw;}dBa#tvJ39~gN(Z7WSEuV&=D)KlvGm3u55R5{{Pv9nmE_t~U~ zbkV3U9*Pn1BnGjgcvRQT!h%Co`cc%!a^9yWO1WN4MoBmOyj7irH5LDEH(@Wr}k zLK8%w_z4lY#`C~<{UEEUyXTDjO!uUo=l|q4KL3%T8Ae&-TYU+`ZCUlhS#eAS`?yG7 zB5OCSyXNcxaq2xRvPfStt0JtZ=1hk;RTpbt)Gv`G9kyO`W=Wi?jkPT*bG^GyCMhq% z$NO{rGZeQ@o~em_a*b=h`DV*A{lB%8^EV>jywRY@5#`d5mvdQbHS?gkd1PXbcRrOXS@{HMBsXPi;AF|9$4WV z9*Gf6254foWI$|QD#G{CXvg%edT^dv;_Rf78@UrN32VE@oF}FJl)g=H8ysxkq>;~m z7Mi?yQNNeYT5u6H6?}8)9wTCbiLT9(k2AumH8gp-w~T)bac80&`G9&KJR3{>OqH54 zF9ueCp+aWAr%|aMR1$o58;O-*kHQZP7|Glyk}N1+E~3qUM3z&9f^g!*N{JwM zqfIU4mojx@2$rjrLW%17+!rVXeT9CT-TpUkPsbP3rVahPZ*%6BEw*n<#;&Vpd;93d z&PN#VTARktVV&rrp(B5!Tp7dX5=JB=eu1yQilb*^4S0X^Tp+Q|bkUOEt9ZA3y1DUZ z{wC=1f&wjpJvxLjh`D?oIdFFEZo%u-tP<-sabq2##zzL3x4G}{%W3Nz35vHYdmt(* z2gsbo+PL~mB~<<`46Ew4LINM{YtE|&-E&kwNBgA7-*kac8{L^kR|kV-SHY;xltyb< zRCa!&H5XTi$&oKjNXY1sufeoZRmD$q;c;$>evOCK1f9aB8f3NhTfWt7y!F9*;TO=_ z@P41c?!mloErDI=-zL#vC2|_&7Zu+{m~Y zXOmjzw(1k)hRYbQ&B>e@IjQCU@VAiZj)7e1(FNPT1(84Sjv_}e9NK9fc8MQ;VkBcfM#3JpCzj^ z2J6a~iHamSvVPF+l60q{tQK=h#c$5pLR3Z;XS;kYAp?_s%^49kc2lbb=OqVTx4Q*Q?654O=xN4ooUOV~-QSdz$n!jP4T9fkrZhoQv z&n4Dv$ff#)8QZ-QU=PYW#R~V}h>tUe>qJ`MGf^sn`$WcHwT(biP`b|2=8Cm`)u3J0Mk6 z#>htWgH`xP-U%%a_bQCKcDkOCE5WsG;5%F(s;5!Y?#9V;Ngahnj#vK%-*1oGH2E&a z>1J*n&^^<;xj0jQGHVuhC2&40h4}ID6>#KO0IocI<75CX;E^pgqTjI-!OZRH=gsmw zK;yKKlUqIRIIThBBl0A?QDfi#=V$H9+jU5%wbbSyERrz=)9^fw{&4UI>~H5a+K!f4 zSYW=ubS*A$KQNwqdvtSAm;BSwH_8i+=$_By{*Qx*5*Wn|>;1W&9f|nqM_@wXKA!oh{v@7fZ5ny+kI1{S0>>bhpo^qNjRc70MOxE_yrvAb#$S;M zhm524o!q7sp;Z5!x#?eQ%t6AL6G=$#K5{YA=u4jm48deg0sXiJR4@4Tb6?|YQ-diLta zfX??vi!M|mGCuFwD#?qVv;12LOEI$Qu=5@#7u(NHLp01q=YI}jDH~VUqoe;)@Uf{q z3)}jQ$xbg@#ql1?a~YS>yZGueRjMYB6>GG{c%v!u1WNi5U-8$3s;s_K(1S7~X7&-Q zTQ}BnmOHvB2rloC{P@?RwywXMYr81J6n`CY6 z_ap>fJ(9J-2lr^s^t&df+vv^^OX^ti_;K%ixNf%FmYmZ3tES`ApZJbX5wB1NKa=)v z@h~_q$vzo+As?{>XAnGEbfxOGoSl2?#uHJHxm}O5?|I&_6LkISb293y_dj0wdr%y5 z9CYjVdE$ZHE_;8hm90)(fYRw>>6@I}XbD++Xyf}o@q_0I_4sG(2Fgq+J6;2KtQ}pBE*~aYxXkZ6We||9giu zx}<}v>1EGEn~XF*bkt2m9g#(d3E!zr`KR-S6tf_a{YS@ztSIXps^S4^I^pzvwcR1 zbHC-#U-iwSQ75;zI*Fi)5&|#he(v1+rp6R_(G3Z5s_?(B=0C8Yg8rhP^W@Kd z7te>kQDrtU*~V6O26l8M>r7~6`l`>)f`yo@XI6H*ykxmn;DeeXI{Alb7t)%WU=PKvf3uY=6AGYPnhT0OU6hLwXpZG28S{IC;Pw90KJpE1)N2szwn{A!@|;RQEJ-a0R=(p^JBhtY~i@*7No$u3acBQB`Cu$7Vq-*wB zY77?WyboUthSfi9>eONSB5p|K^F-Gv6{^wwQ71fxU3+TY(R%cKfh$Tp{7k_LKd7GnmM_O73fvT5aH z>b6rSBpll}S&Y8V{(T8}x|UHHk#N$T)e*5^ZT%Dq;(M|6q;3_(eA-i&vG;*c>7{fL zAe2PZC;#lWdKA@5vYFz5q%@VXjXkf5@xPppe17o5kIL*ujXKnAA38l{Yi2}+1y(o~ zZmI0p|~Y+thsgGFZ#77 zyvl9j@q*QqMk1r|V*JE0AI!iWOGkX1&a0kK5jFVj8C~uZjB$sdkj6pcr5L__1=J;T z7=G+F1M0^rGah@J;q+D`Z+lWjRQIDaUhek>w)Xm)my^ww0_LLY1*0`9wiV+EblmQ-K!Dv&hr&l`Wrl{hXS8 zAi?=u_*bU($Hi-QzfewAzpvB?>DOQ-SkSrGhF{(mdCvGNbyY?aa@le5GQJxD57Zhpl3w! za!Wsx)>8BBeVR@>Gh0kG)^FbK9A|2#d-zqVsP>BJ593cTYbIOu$v({Y=A$d3y+$V( zW$CZ%FExmUpXEo{KK3F4PY<@f$kq~~>K3t0ErB7{HYjWgHY3_rzK8{z*LZ>-))f6^ zC!46nzGQZGyP$p3b0S@8lDU~>IfLKY*&0XpE8|A%uWv+4iPAF^N0N)7G)vB39dVp0g5x@^%^t9uq38)zwj%mi$Mo1)rW=9hGibYC~W0DsJ}G zz#hm}(^Z!>ljzT;^^;h7hIHPPePEN@;c8#BGo|q~YPTl-QTW4+`}Evn9N}eu3~zBy zaMZ1(tNx{lcX{jo(walW=0(E}nw;2Y?N^B=ySY8BvmJJU&%bW$(y*fjOOlneyc+Wy zb`JH+CAFpvRqa}6uypp(e!=Ru-@cjdZ~V8U3pMpXNUhtQKdcfH%ZuS@*7WUN(>56a zUz|f;$elj&1`-OsYVYXSJ3Dr|I(eq8M8m@eaT}p|5{NF4qpuO7~tt{tzlxPC0-6aCwr#O zkUm+vcB!e+P;_51!lZV8=EZ4p3Y$IIMTQ)9?mL+xs~pxM(EVyVm6;!;^s6lr%_@&w zieY@UIfuI@spC>rNh+CvnE$G1C6C>VVSH4&HjA@z=vgV)f=_z2$GEPo;#3Hi3UIkt zXkdyprNBjE!GLMF*wbKA{RLB1ODCVqqvI?mWlO*G*_NA#flvl=(~c#gfuEsE|~K@S4Fkc zL40NXYV1RXfSH)9@qr$U$=PCxC%db8QJTobC{*59X{4%4yW?)bV>P~xsJ1O z=&+aI^L+k|v^AaB;o<<5Ng4{E&n16_W&`a5Zg+&T3l4HHQOd!#1Y6&ciX7SGRn8mU zZWswg^t~%#+`R!&$AZyT41^96Mr*c!<0Xvt2|VUyqHX6pQ(w{F<0w93>rEV&L+7Z! zPXg&YL3Oh&oGL-};vJjI1l5@!+&qDw{41rz9vzR{ggb~!6do%#t`)xbr#jto__ll? zSi$s7>o1jGx0u|r@zANcV$JQ0pC7dYH*8uG_FirLO4eG_z6HG)>s%1H74$o9QwMRl z;J)A`zfZi#d{qADs;lnz=jW)Cl)oFJYDF+b0{L0!EqJ0>RmTo z+ReONfc}w(>MA|9d79IMxmxUKJ2|$3i}~`=`Ps+z&yBcHK62=#rR0jy&{bDgG-taE zqyW(ty^D+|70b9{c6*})-sAbgqN}XdPqbgN@XpuYOE^KvOZHbJ{6v5DK0{o7D3{zy zEx=LU8hWaCSvsy1ObH@flz}JvB+a}=K!HewxzSJU49pL{tD<(|?_+Qrd z;V$j~zog%%ypw=G40m}+z-NTi5b(dJ#mbVGFN`F~kF73Ly*GGtXcHIzz*!*xAIj=_ zxrfa1z@|3s7xId*PdS?1M0F;W)DO_Jw~_|m@B;MYJoj_^4(N&ewun<8&{OK?Hb9_9 zQckKF(Bsw-+PZk<)2dE&qJYABGqu}vXzpUV#h~&PxUXAI`pCz0CPOxFw>E-iU%_4- zK{I*q^a9XKZf_bGX_EjGtZyc*BPeE+NxsA+nh#r`V^YfCp;8}+PwXwuXq1Lr^&TAQkZew z*Olmv1~d-y_a#Tv-6V2Z`Cs$sqVfYvala z!8d5EJ#2t)z~(_Qe7P#!Iz#Wrng6o}s8zRgbxt^$&Owfb^k;qPJ9&CLIcP#dyT+9* zLPM0b2f?Y57u58DQ~BEzLK_KA`)bwtfZ$Z51z^#UV7gB0ga4e0PUMW>R6RR6O$5`4 z{zAVZn6BAUBmy|?60v&&Y=&Su9TAeRz;rf=&`^TumV6K+1k;(V;N1wOv#EgQ{%1Nh zYbtkOI-74$PJ-#mo59{HFr9V-Y?EL*!DD1G!F1(AXmWz-nkhuuvA}fYBj{a%>CBe! zQUuc(TkCibOg9Ri9U+*`Y#T35Fr7^XG@f8OvrYU1g6WEPkrcpm%_JfPgrFc!YfL5t z1;phL8A}L?ay*)mU^$lqWDUV`5jS+%Mt8W z<5%%tP{=lz5rU$;1C2jAhE`uUe{O5u(6i+1D(u22#&+hG-nC&YR6||dp^%<)o9(v3 z=IEQ9?~!&31vsjo0c?8pGQ#cauOK{9A!^N;CvFo4p-&cEwmQr;YV)%z^Vy&*ixwSy z41wvWA2#jTpV^kJSfTR+J#p?VIF9Qjf3Pc`aJK_%4(Gq*{u&~h_#NjQ`=W%?QC3m7 z?y2bfz(ib8X)GG$2%bKSwV{c;9%$(`V#BB=3m!BaeC7n(T#BrM%973b!{g% zrPqsC=Yc}y?T7oP7mkWD;KfBqf9%wB+>#*sq0<{SA(w9+J5_J^nU*w|6Dj6tPhSOA zJ^uVjL*tvb$L6^05BEeL5Hl+Fwzu2kj9b zRy6dvpSSrpuuY{P*9PeneWhhhU;0FzBYPaq5o6;%*B+@2|5<7EaBcH1WD7{?TOSrw zBoUp}N}bhEEV#kBBz6J&zJN*AKh9M&(veS{@3`r(T;1eaGZr`4*C+HyGHtnon$WxZ z1&q);!zm&J^nO_}g3$Y;m5zIa-oLT65_-R(s6OuhwJS_)v@EUU$nHyTZBb@Rkz)#* zop&iFSme-7u>WO%#bU=5_(M&BKQKa0^~-0YCFIn_z!;EIHJtw>KXylmH>KJ)!d#E+ zYI==pB&^id|0K5nCVflTjLc)#hq)E)%LlY3W;(X2s?N)gzFwHQxPOuBH64^tToTP-e*1f^!?Ixitg8F}+IkPTrkba17!?rdNDHA! zk=}a|5JK<0_dp<_NJolD2Se{2q!XGTMMO|)04dT%6lqcw6a`Tfd^h~x@;uM?asRk; z60@^2duHakcG;biMxfi38T3mu4KtK@s;@*R7Q(Ud{yhH;jY-3jYLDV$$W~@kV5p>1Wk#GU1=N zwC1yrbdF1FUE9NoxU^2jbmpI)I2i0(iv;EupRUGCqvI^xv9Tl7gd6JXe5ipjBl00NLNum(R?tvg%u>2jk9h)YC1Ew9GiLBe0t(YU;x zNYQke*Vh%>195p>{cO7b5|7tmjJWZQKb!uD8{ffj_YoW(zfMTK#A8cVxl26We6YKH ziN`<6vv9Cl`CxY+2dkoBOBoJU*I>byu=&jEIvp z6vv2kf0RGDgw?r(i%VGbWF@?0L~Y@_JGk2yz)IMSgVlJ7YnQP4OQfqf(v!=gWc=+J z)m}Jje6BxAjfBc_cQDbmIn4hrf^Lpl_7FAZ7zCDM;GBu7#A(hxpY zE}S8aKb-1uhP2Ei>|GjyU`1RSQWT!QjWZ;a6>(`u423w(kj`Hs?{WNK>2T2Yk{{Gk zkX-TuX2l7dH#s&?{J7)?lL;X>Z+a1Kxr_6rFDFi|m;7Kg!S#|KD6-Pwys71VLN(5t zmNo}(;=JjaqQIp$k^gC##d(v={vZ_RO>2o1{5Wrt*&RgTyou?%6D^J(h_WtTQakPk zmS1uFp!la#7LFhIyiN$j`4Q8pQ{`nQ_|+1H%LK_3MdKk&zt6I6v&noNT=aAHXpMu| z9y=v$TC_Cs_7kvncA-QneHIfA00cY9>*nfY^cN)ypIy60JIu>ixm19s{~j_oeFjlHdZ*;lC=l2jydHc}Guve##HlCg<=g>a<{fOhxldxWsWLkM%Od49cq3kbXdwL-p``;#nAJ(nG7?x`Grp z#mj~s&s|fpPIyg?9c!AMhAb~pZV%doj!ZAci%gN_V27ut;zcOFO8T}3vj>FkYFuX= zkg+vG72F+}ZXR$ezDd) zgy|dxo)z#Z`8EbgdQD~3xHND*Ge9miic~}`VG}ZhC)rIi>_}KQ_naqQq)(zG&6(%g z#Ply>Qh20np<&cIsfYnJzsP{XM_wq)ux4;V1$>GRGK{CDzTWRnST{2zgB7`35;QED zd!+`cjlT(TjQ3U(DZPTgSkO>I98+u5MKZ2z@7<@&`XH}fZSJcZ6wK3%i5bWrz%v15 zK5&}|jhuAhkx*t5C%J3$7$m+p#IbNOK{V?M!d(y2264dy_D~yxd8^ zHPQ;jI3PxtUm4;g;1(GIr5PYTWtqq1UFTn6-q#9+VEG91D;TI65s~Z+Xh*VZ^Shz= z9t@B5UvOBC;Hf#$_lE*O>r|eOkyeEmM~-U~M#2O|5XVv>zNIf8W03pX{dB}N=mF20d@FVC(6&_lwhy*r!w~60FxA~n z{d?|%%+zy#UQ}*hNU(nOA75La9SKxnpIWef?G~}nbN`L1w9TjTdF7oqY<+j0wkxJK z9NMNH#`#W`jL&ru+Xio`N(FGD84qpIQ>wmmB_>ED>9Y^AC2~An2UFF10W`rMo|87E ztvAc(RrmUS@Za%kzm5I_)JPpV>N`1}M%s~5*sVO8uQ9ut_CYphoA3UYDP#a2n)|R= z)1ty18niXr&W1)D+QxfpOn)dDpG6V~et6Dw@Tj6%KChPAsNHYtQ)N8d9dx#_{F$w^qG0&bl1=O&{&fNYI6zbyc zPh$u4XoRCkS3W$a(L-0hkmvq>Arso=4_!&Btd*~wYrzkF?vI3)-n|zr1WVi8-JEjW z(_(tC@|joJC0% zFcy?LmhOe2_^sJ-h+RXXam%_ozi7)T75@O9Xj%aYgOV7_vAwr6O-3!~O;e_;uxQIk z6_fefiIPB2xpJdxIdqZ&&I|jfz9mJ)mT?a?ceaXnhp7U8jLxWaVD! zP1qVY_k_<54d)-h6jmK6d&0|kQPe|0boH~xw}M&>QzQ%799na6f=WTEJ8S77*0lXFb+;O1L9_;G9;kst zwkZk(t=%g1(acGWpOF~2B?*P9h?8UHdUy)`Mh}UzMh-3@^>qfvkewQWo!KjsE11rqeiRB3^#VqrDA<`5Xz1?|QT!Lmv2GxhrbLDNL)KBE#TW+Is|L|rgtrHo51DCJNH1Q}Ao zWn4-L2}N^f3PQlCTbcOr@Ju;E(NZADmXaE1BtDQo3N&IE-~@ucQF8ff&J-x?uBS6V zS%yr(?e|vJ-AtF=hYkgh7u0%FP5A8VhTKz9uGvkDF3=ZBa?F(D6ye_xp*MtaVXTPS ztlZHo*F_WJ>I3)_p9`2)mO6pTf^uL-s3Hk%Ad-^A(mlQg98ix#AayB|EZtLU2wL;w zwvi}G9hvjOid5oUzhX4Z5d~t)9bFUGQXE&`E}lpW1o5&px|GY=k-=$6^yX$o%>A9K zXUidrz;#*FTXKQxDn9EbXTVGM35@-F{e6{ z3|1Fb4Lf;mrStAnVWmI}3{iIaYo>sc^D(gfcJ|~FAn1Mc(lDF2gh=!XaFn+LAhP@= zZbgI0GO&qR8rjuNS>|7QxAP>!n2akc*_gJ|RMo9xHHX#WUvn97u{YRNP$TsnT$MsX{i^-YPmJ;iW+U{!=QVt-=3o2%4Wd^~H zc=`b=a?DH|<&>{x$yB@#xL4LQcwdk zvUTm!H}n>8JUc2S7<+cN|zJ7u}KFs+9vY$A0<6_v__sVVf^aW zq2DOe0nr8v(CC8lP+U$NcD?d`pHG3-Y{xAYzfqcw8=F)Krh6_#X|h%7r|kC!*aDYn zluhE4YxeFEO#+qFRi}%AO2+ttK&4LnnM~OBo-?G0=5%UemYAT4L8z@Fo4k??1i^sF zG6-<%_~}#-;F8HdL4dci1+1kbttnMBK!BTo;twFesg&J7G(DgKp%v22pl+e=w(TBz zbp;~iuO-K#5)4L(jp}$UozE)`gx377G9?HteK&9%9i$x5SVa()l`*^HY;E(>i4h>> zP{i!MVf!&Jo_HmB3ZyU|LNVLzta-U)Wf0$QV;~c3YRH>Ilwi1HZ^ip*maq_rCd1Gk z2+BcOmw38ZQIIc?rbHaFE~yAYQ8XBC1IkKfxw-o{V*!Tf^h2)aNjhdO@9sBk~?uF&;%lg+GMKKxuf$Z*#h^Ou}tIzHO*`jTj>n@HwW&3;Iwy| z*h!b|zBzD}oOgfX79DV>%0HmWUe|o41Xt`ZurCj0IlyKHrRz5a_H`2F0(Y{GZ7GJ; zAf1T#aqbk~0+Pm_KBNKMyE9N_54Mf*hj>6rFmuKP9=P1he8ZVJLD#63a?xKiM=rJ{ z3fwyB

o0dX+sl(vhJzhY;Y_xw3hJL|8~aV6MJ4*Di$i0_5$0#?vj*3Y3Pper;k# zkU*Znv9wgRW&Dan=}k*P;YB;zFF}b0WcgK5PG8p3c?;?K?ZM!!Q~vbTOtFq@DQkuC z1seH8UO}~M^(zzBnMe~P0P-vq=bL{8v5O$m0OYwj!6*C5_O3P22%xIou|Bq~{}f`w zE6ROsKbZOq^gCtf%sLTpGk3QT>drRb()S&uk)&9cNH9f45V?Vo^^cJmXA6YV4#|R% z?NBau!{=4Ap|u4EcLGy3*a0Jjk>)`j>yK1+P2j09SF90(xkH>xvsj-%O6nRE7_}_Z zEZ%pgByBVP&rHK?E01@#JdM`p8Xj-aKAJ%NH7Rh;=|!|Wso}BX)nGtvHE2J;BawyX zQGZB9beKVu;r*DujZQc`T67N~P5Z!%?(qvHU=hDd^_8a-Fn)yTW8^&ZJTeG>5*SW5 zJY5WC4W{^lz;Kq>nanHO?z#llqJ4L~Zd3-&cfbRz=`|RG6WBGFSe0!6w~ioQ#*y^c znNl!>0`cU4q!+LS0Fu7XtilaQdJa_pBC^7hq?`m;Qcc+{)^}%;sJtkQq6X5IV2}a4;bETGa#F)eaw;$hlS?raDF$<^ zu4pRYFrV2WV|c@6{IN!W?OU)zCUI;(Sro_i-xFiI3$W^U0UC)C*NF59tRy<}0n^TJ)qp{oBzEqW&)1o~xP=huqd6dP z<^o5ZREgRkkL&IXfGJpDAvKnXFu&#}+k|T*1B!m&4k6D}6VbMKACurC$1B%pFmQa5 zl{ZayI`kbgUJQn3o@un?U#W2qqSOJWoD-rgU*oC8-y9MHbIsXXx#E2i%;aza;4cTa z%z?igkUP@?Rf=z!i{H&C$8Y7b-kze~u6#xEOkWd}Bw5V;yt{0fiNLl_mFUbg$}GO{ zuQ*O~iUFiH8Y0#0FQ=CV&jO^D!Phg0EJ^!q<G_jawM zCrM5T{JGT^qF(}r{Q@M>cFp;kc@;~{TMD`_OT+X3B9)}X%RC*@rhc_P`dxK)Hv3yk zNecIuagzwo``ch2-^YtViih0y#xE9GFMb^+#M!LX)>#ph-rt9$yjZQR!|((vl$j{n zOI+-OO^zamL!}S1LQU6}30vO8QqR(aEQIV|QzWOZAt*;^G| zaM|gsd0Q3z$x75<8-S<0QJc{5GzNk8tI2+@Rng8ji_-sq{>SWJW3ZH`3 z;}B-J?<;H5$7&&!M58hI*16JXO)2`Ehar`_(SL5V|BL|ZATz&SaIv1(pEa#sd_Q-n ztN9dlqurO?6q^O@J(ksrWo?oGLcL-vPW2PB8!k3ewWG9Tdxx0He z@h+*}a$w{s{uvOxt8;Vr^WDV96#9?L&aH6kNsVW0 zXQLV>OI;yZXJ(IIogbnnkDL1N!tMrml@XJ+Usrwev$^jUcZ_lPeU#ws z=RRW6j_ayVei}ID6D{r}^@Xw4E!^w<@nZk<*O zff6mpRt4U&IMd|WE&SZ2;1K=4V?q`)Z(ok<9GY5}_8CvbOLk}XiGkK1@pHi(;Xucc z05I}?eDme6LDqlAR`kp1-JZ3zb48i`WXw&=<->;ATx|e7^WU@_WRol`>$3)JoADQY zMF7hbO!@$2W;qQC2Dl3V+O_(998&%q{njYEoQ6Gcp0BZcqr^_FK7 zTxhE|Gk8<)?x|2e^rK!?OZ#P!{U-O+>39-694zcrRd_cOyG};i72B4Mq@jIXJbJGK zc9Y(leYd|_q!4!$uMoWjrT*WaQI37t!fReyRuc;2jcn))%6M&0x>nd^=kgRh0>Har zCR~4e0nd90eD5#?&wF`UtvO5~(gu8Q-=1Wx(=Iqkg!RT+YKfKVZy&s1u;DH;;cAzg z8{LsSZQp|@lTOZx{3n)p6kqNn5|xpzm6urI|5C+E0~_{&My}oWd??VcVZbuJ3Og06 zO@n@yRm*RE}#&|wz&)g+Ut3W3D+ zSo!>k*?LGSINU5X;yYnccS!7*Z~*VsQYi!=gmcm`>j$)bm|$Y6}(qkGx3m5}~_zB<|TO!+5FYJ|@9L4uZ*E@Xvk z595+povJ2U1%*)ebiYL38TJG9nh{qB3#tRzBmlbv#aXG9Vsz(Lcv$>3OAx9@i7*r> z51DYWT=qTZHpUJTEGuNzO+S2%ocH@E6vG>`HCX~Nsc7B>ZB8K%Wv$*c(@781AJXXs`bxedq| zqmwO|upE$XUIp`R7}E}jHtqqL5jK+m+2l4RF)fP$nL(mjef~|ENgvGCo++dj^ve?G z1IR2g2*M5el~eNpG5l-1{s=Jj7$N@YJ1P*E3>QwIQ44{DfdqCK+foGE2W6z}Km<4Ye)*KncajRf zo}fE(A<_v(fSCx+SSfC{ZyMEfv3x4Rf4$~=#cJi!fQlNDY8XLRSb=f!bS~E_q#shp z9*)0{8E%Q%l*p@1Qf_oB2lZ};qIr*K*`C%K#-^{oKMW!ZyPcb3)O?xOxfRv|dITLcD`J=q2f>ImBh7f}6!e3K9i z>R+DbwrNggip|2moNvggABozYJkZAcI=If-`zQBEHvfnX@_^tJez;l3!^@>UQ+$*W zRd+F!FcYVYUas}R7rb`3-zLGIOvjYR+s&-P4^~V%oWa@`LpQBT7?_sDidcg=t@X%E zJP77I$R3yuV`FDBU})GKbZ2tk&aN?}L{_K`6pyE+&k!AUKz; z>atc9i3HFo8yf`zPmhgs1FWoolBfVW3q<05MoA}Aop}Is4)VnNjxseRI?8}`j9yB0 znV_1#P8m#ZMn*(tsZ58JZs?<(vN`I^#%U6;t=V3OY8cZ0`&5%R zBsxWqN%HsFGHvam$B{iPzx5uw@`)VoXU( z%A{o$bo@+ow1leBkA2H)m-xZFRX^%dI0b=6@zlC)pz_wN%%Ys{@BL`(ux}&D{KeM2 z4E_fW7@0-SH28xxa5_QOCv#h^B}ykLTppbQs?xqBmhwfWG^@52=zI>~M+<^t_@G?g zYfxH~E#3>1)|{(cnyU7ne;)&?uo{Tdd~pft(Wa;Q;@qA4Mu*s0I*$%+PZS0Uejacv zjaN%r(+nvJHJPkZf-PmFxB z_pEhhOL$U!k-k>R>oTBTEu56Qt6lxTS!S#{u#k0#SE!`MM7enTvIaf_IO)&fR1At` zLg|NOg-UAm!O}obkG^z8S6@IA_ns~#{qq2{`E}n%pl~c(;<&AKWt+0?`m9_adYuoH z;BSC5`|gq2nfQPs{764a>8^lO!UUhJcR9$=sx>-LC!3kzS%FV(D1X;rtiObG^b1`X z1MZkEHC!?fh`bhpmfB=%30j{xpvY?@31#ln%=L4y%4j4%VE|-|OQ(66jo)oaO^KPEH_AI`!F#9w>%-Pv5^&$q&&Se|{R znc#ymW~pQQ(Ni94uE|y=5xs8hccVV{enJfgt;mp?Xh<-BOcd;MC$XR>cM`le4yyk0Co&mB0Jjz|rQ%V}Bl^zJF#7@Bd-(@WjIC z_WW82*Z1rD$4M<4Px2xTWzP!l8#VWQTV_1ARlPBe~Dr_ydr(@{7efvgX5t38N#G%KEx(MTN|LdFTcONDgzbiaZIE9~?>fRX7d2PX{ zU_d)t+j?|1V9zUkL3_mYoPBlPFrPmq<)Kb91LMD%Zw^*Zj4I1MAD3%*yrl11;5N<1f$ojs{X+2|YXhYryz&sjL6T_k(n< zcNYx3x1PBYp185+`mH3c{SZ^dS}W{b?2tKl{@PD-Xsi%kZ2yEUr7di5DSjpJca(N- zhkK*ROuhIO+uLNBhj!6^I}v=J%pOTs#m3iP{Ric3t&e}B@V2FUJqb(4F8{hmk35B)o z%BUXv^4p9THaC~7%RYF6j(qud`NtcRyDzs+)XknSF6|6)K_w&1nj`EzpS89om1TQi zKR(dyOJN+-8)N>hqNjs>vd?f^D7gNtx=hl2zRt<)+V@ANv;n*-W1ml+V$W6?Kg+_; zzv3T-Ui*Fcu+fmlKQ`p|K`j<(=BC-vEAY734zZFHPy998I9dyiG_QbwF+pN-wt*2#sMGi z?~%y~?P)7JoD2x&j=6s*74=F1SdO5_!I#Hq(nf@-Wk{vCaN)3ioda~%P5x7z3ZMd>v+@`|og zP%6F3f$k&k+s|`PP^7$}4cPR0gICR>b@Nr&$BVTO8${iYi=Z)}NRbVEdhej{MB+Sb zGBiGd`%Jx&Bt^qj+6=l9fK7a-qt$I=sWsC(fYv@G;fE@S4E!c!7SC0xC1EdA{_&-2 zi|V=stz=e4^UdDycN_`Xp=M?&na9H$DD+EHTeH1)8};fALe2ZfIUA_Lm)5qE({Gcn z=mf02-HN=8jlM@jU`DoAb%(DW%C%R76;oTybcAKB*Anyd8k6wzA>(LMjt9{Cdjr~q zV%QgEXPvF{yYg7$+OObtJ$Pe@08auI(@_FXgFY*7oiH&DQ1+eRWSHaQsHr6lWUu)O z8K*h7_x^Uf{LmmShvwYPNv&Fm-dy6WuBBq`A+7oB@hT7+`qmP4d>xLN3&V=({c{o! zKYrOdF?$^=rojpwzjJORhcZ3!KV$tp@R^KId|okZEiEeIMYhHUkaO9G94K& zlW7n8Q{U&BMk>kob6bmgHX_AFyy_`;QlATv*Bi`R8mmgug@i^}cZX)MJG(bXI**r6 zu6`Td4-L4R%IVys$eca$=7+G^g=w+OKYn|OtKH)q*}bZ)^r32|tlBH=^=Zk~+G#1( z>ITWKg+C$VqzAcwZofIYJIb^@9C_pi50Tj#Qn&ZEJ(*1++x<7jW=r}tJMHybs3z6; zoeFr;W{<9DwlfTprxORBj&z|;ii|UJvSc%evlx2JFU76?@XW^dZen#yBMsfXO-==l z&IL>CzWCFUinOuL2d7b-cckpycdvI3}Z~ zn=A*K2Zi=pqjrz2F&R=fZ?$EVztsUPj6jQ>YW30!4A`Fc&k#PHd7K1V{IiAanLa@% z=$&{hikn)sl~&gmo-j$)S-qL_uQ7qE{(E&_k3&sQ;l^!a&)b-ij)IDxi#6KJje03^ z))Vy3o#GhFeS?%BR+IElZD5#C1OMyy0gQy9UKb10(SXwqkCU#(E3_VP0ofZ*= z@is*aP$w_+&Bf)386FRDgGxbYAj(N}UkeKNs&Q}Zz|6{cm{cAu*633=PD<#tONmR2 zElu}dK(Mb|5sX{Iy&^0zie3LCwl7&dGeTng9-8oMWahQMOq`+i{ zl)uEA<%zlQB(OX2DMVb$+7XB^2jba*_+dH&E0h;j^VJsT2!hGlchvDu#k+NnXKN7lBE!LR9E zeuk>q--W(9cgnI*3Vm{eU=UIACCO^v<%xiqeZ6q1rNM#muyC_*O4&V2UcYfi-xC7j z#N$`HN5fpKvno%zmgg(UH&7gHX9vd7OIKj%=~r`>`x~>oc}QPTny=D34E3(0gpWLQ z3||_a6YG6L8!}K+ENq;;oA4>1dTZpnF3!bPpVIIR#xSj7V{2!_@Re$~YTYZ*qFXq7 z97_W0{XSVec_LaYMT2?XRmZpm*VxRlaIa_$hs|?4C+wSWziTYJX%=r=&n}s+qsK|; zhhZd$dKO{rBI=y9ogCj97v-1miNra9OUoBalklN@JAPcZd|RYOo>)G>;LxyKFsLr& zsC0vzEKA;HDh47PkSlMRk1x6$Lsmp9FW*}}ej3~O97?wr7fY49|!QDx)I ztFJTuWGwDyd9T{rCF$eq_PUZGx=E{>aFX*a@-g}$a=na=WF+--m>^jmLq^Z|a%DU0 zH05SP7tE`-%#csOpErKa>SG$O?|7{CiH^kkfiY&$E?GK#{YE11=C~|>enM%3`08I3 znp2H|#f@A-3#f()#gKU; zh7sB>ak({onJ^s_>YVaq)7CbP(J3kJuw?pp#w*^A**)qfzqNePbcjgOKRd~JCda&+ zu2%Dm!Vm3H0#if`PXfe$R?7&Qo@a%o)EhfLpBc21 zvZnG^KdyXi=_HCjv*$~x2+{OdLq|EGsRT-aFsd?YioI_5<~agAauuySlmtVtzSEp2n&@ z+07Nc^Cf^x)NqUm3|>(4P$IWr0KclPu1q>tHniVzJ0-fZrsC%V6}HdWRctb-mzG`= znU6w^^{LRWr|#*NrHBQYBohiVjMMbm*43!l8Cz0GYB{ORbHvdaCDE9xGUGl-Jo3)5 zm(GRuItc`xJ#W>WQ(_n=Js+?{?3Jasrhb&j4LPG{n7456wvfxdeZNB@k#yamdY!DB zKP_QEqQ2GSV2j5(Uq^EJ;L|ihw+=cvc(V$P;EOFQlXCwC9+WwJNS3pWAAy{}j~(81o7jfl(@Zq_bsMh!)}8OEqBO!k zB_uJg0qw2G6~9v$Vt4J}c2>(kcHcd1ldG@DicGHFW1Q>7&OeD7*Xk}rMm?n8taTMy z!ix}mc53Y##wx5w^H=|i$>iUbD5)E?h#McNHc0%3vE%>D^Lc+gEv9MSdn8O-L-LZ{ zq4CkbHa2*wV|>rR`~+7~|BAqqddaNUgo^4cuqV(q2{GPWapG4`tPEyeNX9*tvA8fq zRqgf~!>a%BNJ@mhnxEc_G3L-?&4n_Y!7&-tN;y#3vp2>Z1`nH!DrYr-cb8vSU@|KH z2}zosl^Me-{|QP4oIR{o@2*jmM$i<}jbDXpmlss$P`kQea_9+41sIZZx%e>9EFMi8 zD{Ee(;Q7dI9(fcL*|skNWk6Y=TrdwX8I|M!Q{}Apb2$T_wi|c2UC9K$xYzmRHauwH zGpQ5nz*m1;TRRFcW~WK^ZHwm>tBrxLcAvkbOFaIuBEus8jAJ0Vi7&%lpF2g|ck2<+=W=3~&*v5XgXIpvj&G`&Q$Dyja4?e!*EL{No|RoM@6MtD<4Lq#Zz6tCNsglwV}54*}L)ZzuWxVaC_bp z*xPs&50ChN+w30@=qwcEYN+SIC`#GO_?PvgD8X=1)orgilfAK8Lh0tdJd{F3#UR{kSWTB#UqH zvgKtZeoE8a0r`6$Rr;HYX$|5xCUcYZ<`H4(I&wzLe18pKKQMA@vdY zC-Ft4R^}H2@ZNl$r}{gr%t$WP$%0#)Ut?{Axg{b@o(dg8F>5(7R2g=8B@*?6!gCBa>v8cN>i6p);U-vn?Ek^u+{kwJrgH{w~HHpFe zChVSgR+fNbxQ);)?ah3)Q-s;=!UZ?%zjPJ5a*^$5Gb;bbuM4g{R8;)Xlh{qti0-|c z^fP$P?aFGStpsAKO5y53SK29_7;E*uh{8-!L-+}j($^fL$Peg}I8(D}a^DlySmmZ{)CDcYkBxuN znA|p)yP-3utiIjTp~$MWT$ zmF^$2E3KxZ#+k6Vkfj5qp2q~TA6khtJmKCyIyfDwI*C$ISGK(#I_bMq*NtVViqT*)a}rCCGC|7WpTk7Ew0jX%r&_ge=o*|x1sp|OR~5Y|LKbF z3Bi9)x&O68T+{!VtNve8mX`lC{ZEwq&vySap8j9Er>+0B9f&SN9Rfn!KNExhIKU`E J+6chA{{_s0&U*j= diff --git a/Project.runs/impl_1/top_power_routed.rpt b/Project.runs/impl_1/top_power_routed.rpt deleted file mode 100644 index 815964f..0000000 --- a/Project.runs/impl_1/top_power_routed.rpt +++ /dev/null @@ -1,294 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:03:19 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_power -file top_power_routed.rpt -pb top_power_summary_routed.pb -| Design : top -| Device : xc7a100tcsg324-1 -| Design State : Routed -| Grade : commercial -| Process : typical -| Characterization : Production ------------------------------------------------------------------------------------------------------ - -Power Report - -Table of Contents ------------------ -1. Summary -1.1 On-Chip Components -1.2 Power Supply Summary -1.3 Confidence Level -2. Settings -2.1 Environment -2.2 Clock Constraints -3. Detailed Reports -3.1 By Hierarchy - -1. Summary ----------- - -+--------------------------+--------+ -| Total On-Chip Power (W) | 0.212 | -| Dynamic (W) | 0.115 | -| Device Static (W) | 0.097 | -| Effective TJA (C/W) | 4.6 | -| Max Ambient (C) | 84.0 | -| Junction Temperature (C) | 26.0 | -| Confidence Level | Medium | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+--------+ - - -1.1 On-Chip Components ----------------------- - -+--------------------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+--------------------------+-----------+----------+-----------+-----------------+ -| Clocks | 0.001 | 8 | --- | --- | -| Slice Logic | 0.002 | 1948 | --- | --- | -| LUT as Logic | 0.001 | 961 | 63400 | 1.51 | -| LUT as Distributed RAM | <0.001 | 386 | 19000 | 2.03 | -| F7/F8 Muxes | <0.001 | 171 | 63400 | 0.26 | -| CARRY4 | <0.001 | 36 | 15850 | 0.22 | -| Register | <0.001 | 161 | 126800 | 0.12 | -| Others | 0.000 | 18 | --- | --- | -| Signals | 0.001 | 1477 | --- | --- | -| MMCM | 0.106 | 1 | 6 | 16.66 | -| I/O | 0.004 | 34 | 210 | 16.19 | -| Static Power | 0.097 | | | | -| Total | 0.212 | | | | -+--------------------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | -+-----------+-------------+-----------+-------------+------------+ -| Vccint | 1.000 | 0.020 | 0.005 | 0.015 | -| Vccaux | 1.800 | 0.077 | 0.059 | 0.018 | -| Vcco33 | 3.300 | 0.005 | 0.001 | 0.004 | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | -| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | -| Vccbram | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | -| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | -+-----------+-------------+-----------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | High | User specified more than 95% of clocks | | -| I/O nodes activity | Medium | More than 25% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Medium | | | -+-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+--------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 4.6 | -| Airflow (LFM) | 250 | -| Heat Sink | medium (Medium Profile) | -| ThetaSA (C/W) | 4.6 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 12to15 (12 to 15 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+--------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------------+----------------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------------+----------------+-----------------+ -| clkfbout | clkdv/clkfbout | 10.0 | -| clkout0 | clkdv/clkout0 | 10.0 | -| clkout3 | clkdv/clkout3 | 80.0 | -| sys_clk_pin | clk | 10.0 | -+-------------+----------------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+------------------------------+-----------+ -| Name | Power (W) | -+------------------------------+-----------+ -| top | 0.115 | -| clkdv | 0.107 | -| displaydriver | <0.001 | -| timer | <0.001 | -| xy | <0.001 | -| io | 0.001 | -| disp | <0.001 | -| dmem | <0.001 | -| mem_reg_0_31_0_0 | <0.001 | -| mem_reg_0_31_10_10 | <0.001 | -| mem_reg_0_31_11_11 | <0.001 | -| mem_reg_0_31_12_12 | <0.001 | -| mem_reg_0_31_13_13 | <0.001 | -| mem_reg_0_31_14_14 | <0.001 | -| mem_reg_0_31_15_15 | <0.001 | -| mem_reg_0_31_16_16 | <0.001 | -| mem_reg_0_31_17_17 | <0.001 | -| mem_reg_0_31_18_18 | <0.001 | -| mem_reg_0_31_19_19 | <0.001 | -| mem_reg_0_31_1_1 | <0.001 | -| mem_reg_0_31_20_20 | <0.001 | -| mem_reg_0_31_21_21 | <0.001 | -| mem_reg_0_31_22_22 | <0.001 | -| mem_reg_0_31_23_23 | <0.001 | -| mem_reg_0_31_24_24 | <0.001 | -| mem_reg_0_31_25_25 | <0.001 | -| mem_reg_0_31_26_26 | <0.001 | -| mem_reg_0_31_27_27 | <0.001 | -| mem_reg_0_31_28_28 | <0.001 | -| mem_reg_0_31_29_29 | <0.001 | -| mem_reg_0_31_2_2 | <0.001 | -| mem_reg_0_31_30_30 | <0.001 | -| mem_reg_0_31_31_31 | <0.001 | -| mem_reg_0_31_3_3 | <0.001 | -| mem_reg_0_31_4_4 | <0.001 | -| mem_reg_0_31_5_5 | <0.001 | -| mem_reg_0_31_6_6 | <0.001 | -| mem_reg_0_31_7_7 | <0.001 | -| mem_reg_0_31_8_8 | <0.001 | -| mem_reg_0_31_9_9 | <0.001 | -| kmem | <0.001 | -| smem | <0.001 | -| mem_reg_0_127_0_0 | <0.001 | -| mem_reg_0_127_1_1 | <0.001 | -| mem_reg_0_127_2_2 | <0.001 | -| mem_reg_0_127_3_3 | <0.001 | -| mem_reg_0_127_4_4 | <0.001 | -| mem_reg_0_127_5_5 | <0.001 | -| mem_reg_0_127_6_6 | <0.001 | -| mem_reg_0_127_7_7 | <0.001 | -| mem_reg_0_15_0_0 | <0.001 | -| mem_reg_0_15_0_0__0 | <0.001 | -| mem_reg_0_15_0_0__1 | <0.001 | -| mem_reg_0_15_0_0__2 | <0.001 | -| mem_reg_0_15_0_0__3 | <0.001 | -| mem_reg_0_15_0_0__4 | <0.001 | -| mem_reg_0_15_0_0__5 | <0.001 | -| mem_reg_0_15_0_0__6 | <0.001 | -| mem_reg_0_31_0_0 | <0.001 | -| mem_reg_0_31_0_0__0 | <0.001 | -| mem_reg_0_31_0_0__1 | <0.001 | -| mem_reg_0_31_0_0__2 | <0.001 | -| mem_reg_0_31_0_0__3 | <0.001 | -| mem_reg_0_31_0_0__4 | <0.001 | -| mem_reg_0_31_0_0__5 | <0.001 | -| mem_reg_0_31_0_0__6 | <0.001 | -| mem_reg_1024_1151_0_0 | <0.001 | -| mem_reg_1024_1151_1_1 | <0.001 | -| mem_reg_1024_1151_2_2 | <0.001 | -| mem_reg_1024_1151_3_3 | <0.001 | -| mem_reg_1024_1151_4_4 | <0.001 | -| mem_reg_1024_1151_5_5 | <0.001 | -| mem_reg_1024_1151_6_6 | <0.001 | -| mem_reg_1024_1151_7_7 | <0.001 | -| mem_reg_128_255_0_0 | <0.001 | -| mem_reg_128_255_1_1 | <0.001 | -| mem_reg_128_255_2_2 | <0.001 | -| mem_reg_128_255_3_3 | <0.001 | -| mem_reg_128_255_4_4 | <0.001 | -| mem_reg_128_255_5_5 | <0.001 | -| mem_reg_128_255_6_6 | <0.001 | -| mem_reg_128_255_7_7 | <0.001 | -| mem_reg_256_383_0_0 | <0.001 | -| mem_reg_256_383_1_1 | <0.001 | -| mem_reg_256_383_2_2 | <0.001 | -| mem_reg_256_383_3_3 | <0.001 | -| mem_reg_256_383_4_4 | <0.001 | -| mem_reg_256_383_5_5 | <0.001 | -| mem_reg_256_383_6_6 | <0.001 | -| mem_reg_256_383_7_7 | <0.001 | -| mem_reg_384_511_0_0 | <0.001 | -| mem_reg_384_511_1_1 | <0.001 | -| mem_reg_384_511_2_2 | <0.001 | -| mem_reg_384_511_3_3 | <0.001 | -| mem_reg_384_511_4_4 | <0.001 | -| mem_reg_384_511_5_5 | <0.001 | -| mem_reg_384_511_6_6 | <0.001 | -| mem_reg_384_511_7_7 | <0.001 | -| mem_reg_512_639_0_0 | <0.001 | -| mem_reg_512_639_1_1 | <0.001 | -| mem_reg_512_639_2_2 | <0.001 | -| mem_reg_512_639_3_3 | <0.001 | -| mem_reg_512_639_4_4 | <0.001 | -| mem_reg_512_639_5_5 | <0.001 | -| mem_reg_512_639_6_6 | <0.001 | -| mem_reg_512_639_7_7 | <0.001 | -| mem_reg_640_767_0_0 | <0.001 | -| mem_reg_640_767_1_1 | <0.001 | -| mem_reg_640_767_2_2 | <0.001 | -| mem_reg_640_767_3_3 | <0.001 | -| mem_reg_640_767_4_4 | <0.001 | -| mem_reg_640_767_5_5 | <0.001 | -| mem_reg_640_767_6_6 | <0.001 | -| mem_reg_640_767_7_7 | <0.001 | -| mem_reg_768_895_0_0 | <0.001 | -| mem_reg_768_895_1_1 | <0.001 | -| mem_reg_768_895_2_2 | <0.001 | -| mem_reg_768_895_3_3 | <0.001 | -| mem_reg_768_895_4_4 | <0.001 | -| mem_reg_768_895_5_5 | <0.001 | -| mem_reg_768_895_6_6 | <0.001 | -| mem_reg_768_895_7_7 | <0.001 | -| mem_reg_896_1023_0_0 | <0.001 | -| mem_reg_896_1023_1_1 | <0.001 | -| mem_reg_896_1023_2_2 | <0.001 | -| mem_reg_896_1023_3_3 | <0.001 | -| mem_reg_896_1023_4_4 | <0.001 | -| mem_reg_896_1023_5_5 | <0.001 | -| mem_reg_896_1023_6_6 | <0.001 | -| mem_reg_896_1023_7_7 | <0.001 | -| mips | 0.002 | -| dp | 0.002 | -| rf | 0.002 | -| rf_reg_r1_0_31_0_5 | <0.001 | -| rf_reg_r1_0_31_12_17 | <0.001 | -| rf_reg_r1_0_31_18_23 | <0.001 | -| rf_reg_r1_0_31_24_29 | <0.001 | -| rf_reg_r1_0_31_30_31 | <0.001 | -| rf_reg_r1_0_31_6_11 | <0.001 | -| rf_reg_r2_0_31_0_5 | <0.001 | -| rf_reg_r2_0_31_12_17 | <0.001 | -| rf_reg_r2_0_31_18_23 | <0.001 | -| rf_reg_r2_0_31_24_29 | <0.001 | -| rf_reg_r2_0_31_30_31 | <0.001 | -| rf_reg_r2_0_31_6_11 | <0.001 | -| rbouncer | <0.001 | -+------------------------------+-----------+ - - diff --git a/Project.runs/impl_1/top_power_summary_routed.pb b/Project.runs/impl_1/top_power_summary_routed.pb deleted file mode 100644 index e712cac86ffab335c45d37f78f6011e1430959a8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 682 zcmZ9KL1+^}6ozM1atL~;o+?5Ip}kmw4Jt7CeF-mNkI~n zMMOae#FMAuf+s~`L8>B+EcR4E42WK|x+iZ!4xZAPjSb@E8-z;r zme^Q~BS9S3HY8!RUqOr`51W#4)fNM*OpuzqdTA=!`?!ApRBImqjbgl?7Je1N0{|?P z{o(ZbyL@L@)u)4zq3Y{=cSP%G^j_~rzBL8_D8@$=K{&4IXEi$sgIxN~`$9JdK&~83 z>3VBgGoH~5Piy+;G&>1{3tD#*M)O*?7Bu6_&wqX{gjWD~S@tbm=_CxUY28g2Rkd#2 z(2PCJa9Oik(~MR${hM#zekcXE;bCN#qq}hJ&-qgP0QP$Iy8jR!+|?`wk6`BM+C+O5 z!ufAcAZR>;p#2J_X0b(FXKNkqIYSngUbNwm$#ggp+cm_Qs}j1k(Qkg-fMXYh%2HIZ zNh(AoqrTrwy+d3+SH3NmeGUKgvIJBxpB!V|NDp=^JM(5kD_G}K#%2tnu~a{t+D zCegM(?d)iFVCFyHByYD&2ndPcmW3=%d Ff^B#r|T#{H)TC5OUnwy(gRC$1h;eilCgXB5x1@a648lw%7 diff --git a/Project.runs/impl_1/top_route_status.rpt b/Project.runs/impl_1/top_route_status.rpt deleted file mode 100644 index 4977b2a..0000000 --- a/Project.runs/impl_1/top_route_status.rpt +++ /dev/null @@ -1,12 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 2104 : - # of nets not needing routing.......... : 618 : - # of internally routed nets........ : 541 : - # of nets with no loads............ : 77 : - # of routable nets..................... : 1486 : - # of fully routed nets............. : 1486 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/Project.runs/impl_1/top_routed.dcp b/Project.runs/impl_1/top_routed.dcp deleted file mode 100644 index b86e3b2d276d4eedf86a44afd89c251542b8bec8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 546347 zcmV((K;XYnO9KQH000080AoOwM*g?BTTuZ308;}100#g70AyouE_iKhm6Kg>f-n?@ zuV>z>k_U7Q`_W!NT=`0C|z+EW?7bggTN! z9zq(Gp94XBN>`lXExJl8hqQtP3DoL6_7z7z&SJX~al2KHj8k|aVoFd>I z^5*GXQv-eGm>=A^M}Wd2J@o20YPLdR45gIAuYv3N%H`B0tv0>=y&-R!`Afn!PVWpcEY-Q30cTNhr@C}oW?tSa zuC>awf4tS;L|mTlX%ZQHhO+g6ut+g-l(?X%Chcl>w%EZP)zVd&kyQwQ|NS!7qoeyL(lfCex~^Snnc1w1Cx2w?@c$JU0SEtC(HaT` z4l{v}vJ=<9MOUYqxG0 zZ$rFcY=BdYaX{R1+M_T$Qc@VnSh=9uLohLAd?kb(&))>j9@hBiZn$jskFhw% z&Oy6D$p!ecIWdGQV+KsO{WM3%S2m1k;-|N_s{+y{5*Z}=m9L!A*DxWUt<$^0g=Y~v zy6K9w@oxNd^; zF$9w_Rz7zrE{qzVA9Rm4#dswNB45K9?Fak14|KO`rcaL|B)1_Y1<0qacODlnf99SW zmRzLG8QtU+?^p-MrfNQSP6tqa^OrD z<=?y{kYNQ0u|GXQnUGsvECOay#-bf(9qYk zeSiGN%V&q@Z@`@Ha5+1Rf1L9Ok0;gs=0*(Zz}TiH2QF`PHFwX|Cfx93D6FtQKNOaY z>pxxCN^JPEfAt4`C}suBtCb;yg6z;PFRX!wNCNHfRDmwd88KtS})KjNGqx5}nz zIv$E50NQg3o%feXclQqWo&1~X9~OQqQw=`MGQW&Pchy0;gMu#|FP%H&Lcm(oOLs2N zf8pMtU?=tp^b-}`#NLRax{A6MQm_?*!v`# zA7l^4#hjU(k_qt@3xY%p>DiR!qe%s5xZf331FRKN{DA zPc_yg);cT5+(;2d{4lXm_l5bZ*Hl?;YJ?L3j>0d(appUjVDaNF-NM&!<#ii%*%$*A zK^Qq+{Y}HXb>SxiN0UR4H)$4nay@wL|8B)sMZ2%yXX(XH_6heB8 zDCQMjrD7t?ldXmwUgn%s;GeYSw)g$NhN0Iq#%e&QV>gTpRq24Ch4uPSROudnYdyT* zc{JGLTbYa024<(Q8kVki!Zlc^5Lfn}gpG2ok;m*a>0pz@3{0YnWR1wpAVcI->|FwX zITQuon*MF}Th9re%RGu4hAE(T5LSRMC_4@d=6bcBgL8 z zdyuxr>yJbOZXK7f7{darK)7f=9~P*cf{wiF@JXvG;NyTlO-$5RtzhAl-t2JI zT(#s@)g|6^KV}*G#eik6->y7j#M@EXZpEh6&Cy`W0aoOB}{g1)yj{ z(k(}hwCh(bm^B~1!Vyy()|;>9i_2UA$|S!XI3b_stg=y>Z~Jy^$9Am~v` z*`J{6eH-@^4b|(K6^jS(_BR~kOjbU6+MV@*80yqWZDbTe6+%NWFW~h-RHo^9mqyPa z7N2x8ET!gj20&o|A9R^SND}dTVsS8Jr90wsNRsj+F-25K#fgMclGM^{QaPNQQm-xR zMvkUxqZVc3uTTA)->jt41*f0$XZ24}mW6`4PP0ZKE|{t_d7Jz!6!vf$@dL?6wMzCY zWd21JYC>O^Z|%kGBo30^Sqb1KbBBQ_TJI}6TA zgRcicc=_sKQ8Qsn5CW$eiUEYnL*oeb1%)C*L*u9t<71HoMWG0bgJLlRMWen`1p11I z^!_T|ee7(ivzu3e5=iNRaQRS>GBf-GG&8edEJL2Zc{`?lP7C$p3xz!NahDQet(N7-B*oxM7*Qk1A39?%g&Kto0}^+VP%uTnX97p~1uW=7 z@#As4TA1Nbo`Hd`^MDTEw)n=G8uXm1RZlC=;#nor+I?gN>$=f<9+4X zllmY%Lm)XHviWbi`y6J70G1l zN%le1A##wgb4RcmxzFD3;q-H7-NZFGZ2HCGh>*mGahMi7 zUKeW3$-G9%p~nYm#bHQ`_?a08-gfKLQXgd=vbNrzF|o~*l!e#d5d17)&)A(xJ?rH! zD9ZQbWhH^z;$roS_zsqjRmM^q^sn3=cg9Ee#{{ii-Z^pMTg98(w`X95LeLm4=QG&~ zvd}X8lw4q4Hz*WACAuitfTkOiP)$#y!oS4fv`dA5?WMwLR|F~Bfa*eNaO!;i(8Rc2 zMh#zeL3p6TIm`84;fvU5eOj=Ebz)wU@fOrHJQ1J2u$r8my}j-Hg=9WBg!Z{oBd}M3 zxE9yK>a{klWr_D9M>O3ftQ2OZJTkhms88WfpQ|{Lj27;~Qp1MzfoBbv2NjjgrTUrd zCi7CkPp<6`mBgGb0nDro{5TWmJ50eh!5%B9oxh{No?V|gq&6|5md8KGIvDQxIT=lR zm-r5}fQ+4m%j5JrxlLYQqNMgKT}rLGZW$U9L1&`EC;Eqa<&U)-B40#GUpw8Y_j%~2 zqX3nHm92LgUiESMRq>xhiN~fUn~XP-Y-2LfO%jE!`ox6boFLsf(DRPB0aJoB+9;S| z0EteZT>VaKr9@HGqDhCFq(+Au526y`14V>3?nf@SOQXWA(73ewBGY{jc#Bsmo{y?I zaU$wFyi1cX1*IgRed|OfB~%102XNR67zn-@tDiOG9mdRw#Ei#(j!(pErxTtV ziTQvSl~EZ{#MLOmRov?d4n__TDo2GX!3V8ysmtW_#6Lb<{3e#~BqK&Ttg0MJ!H0?x zbfdJmP1+NZBb3vgk$<4E7ZV!6?dqV*~tbeD<4dO zC|8tOjY}Suiit>RwJ7QJQ)ZDcJEYmP8NXBn^pRmkTbNefQfl3Ys<-MO1!CQ}9wH;5{;(cmh45gxO(I6m zZOiS!i-VK%HqOR-KUdwwugAiAlLh4Q?0Gy11T1y_AmzBc7j>LrfP-D$?JX@@2EA~5 z1auUb6914*E^2n~Cff7sv-L zAd1e981h5KPv5#IrfZJqCkU8z+z>KKM19XPd(HZeDTgsChHQwfY1 zg>M!?4K112^Qr*Qfm0yy4_($-dy3rOKYqOVW-Is+|KLF3`)%VD`yd+th2j_2!XgUL zBJtl2<}rb|z1lAR^BraO z!ftr_;GDvW{$dTznHt_1Hb83~>uCpamF0bio`C>7Y@i$kX`MwE_f;DA#O;o6CG? zU4t;3@zQADBqn>R8W9Ae#euGc!@t70hDffv!$0}vC%bFJY7(~UB5Y+j znKEj)(zm_dTOOvrn5)`^t@;RC*-oa66RtGl*HrJS%#JfuG6x46=49;(lrs~Ux^ zT7jiXKtzExpUs&XJkYnW0atwHNavIiP{xHVdFn(rG}8CCCcq9Kg6^cB9bwZJpJL}i zskCAL>1#+=iKUj}SC1d9iO7FQ<35l49${pzosZWpm1*jnw z_QJjm+VR6q1=Y^t2lU0kBrv-C&(B&-5>OvS8jpxMKQMU19htQ$MSfveOQYW8OR|UC zQ7kPF?_SW&pk3lof6y0lCNqFsfdg{}sJrqO2^TbTau7@3L9UcPOyf_EuioE|E?2?= zi*sh_mrLyw-O)>YMYLTIEt6cy@R&T;z+VP#eBSyceU9ivo?!H_$xREXf55Rq4gai< z10MDw;1|RHiUC;BHSTCKG{a@H;Uk z)n=3#Iy2JNN(>|72=yi;)gBiaK6TR6o)25p-cVFb_?1=VD=G^lEpkzi+7QX#3QR;C zmL32P>=WkN5zrMb$O?#4TV$yOUuTL}qGrnp#1C%Tk)wt3PcoV!IQZcWXo7;B0(1Mj z2}kMPNZjwVC2z)YTPNW%CZu+Y=OCyHH6`{U$_t0h&HfffCZk7Br52*ZQ@Z652f-VP zuDcR4;b7!L_r zIW-9HwRKx7tlIuVzzxyd7WfuI(Tu75JHN3r>YD5f1>~`z13sP)g%U->EtQoTj3O9& zBSl^6&N9zD8p1;oPCf0IyP}Qfmirt|LUxG_2X<)#9(hAz;2VVQmTz}zHC@p*gRNC{ z{@!>zd`K`w1PPY$?Yit9?=q>wz?sZFoOv)|gb-q5NAgBY1nlnI^uQ;WIVzOwEtFvv zHhd-~NzCMD*gnk2kk-}Hwc$zFrye+95W5psJ}^*HV~R)EpLV?1yJxL7F@*Pl<_O3@ zXfFW}AVoZ?qK{lfCKl$9PE7;Pv0<(#N+_3s*n>Kx^UtdK7rND#U#hANT$jrhoXV%1 zGA}r+_ac*7%1f&ihA+uXoq_|LhEv|~2BR`Ca^uy~iROZIcCO?=cVR+^k}2Z*NmdCm1d}jx7*} z)-uzkrmib8nIjSg1daardt5`@KkEloWTi~7R*4K(_Q=RmsoH?iZoJzWQ=x{Wp?-}M zOyIswy_QZX%Pf&=k&M4kxz^qfa|T7bh#Fl@34ACML>=|OQ)XqKsPVg*sU9iU1Il{G zOYV-x`;kb_K$h|sTsbqeqE=#QJK3C*obzIOkRPwnSk8*jG!k=(YQFpDZ*xVp{MV#p zx7Vdg?R;@cMKi&BEll`_@&oH2XI7CmtQIi83T&inYcQvu#<4#6*1;#|VpYdd!B#B? zZs?b8n)mXq*P^K|JDv`Thp44cB#|0*WB3f;9R9hDNvX0p=uHdw;Se<_k_gd%y~AD9;0y`fdvL z3|CvRJScd1M1!EUW>SpC5FP|$PEepR>Hy6?1b}Obou@`=3-Z^`^HnE1K^xxyh}Fpg zs1qHbm8|pfRZDZxDB6Rpt@9-6W`opE{!l;ILvx1*;VuATtn+d-P86sf^3Yx#p#wJh zef9NzG}Rs{qUr11%FaoF{~piV>vSw-3&EoRjvmLkbN8E1!OT3Dsbp3r=(1D=;px&Jz(EPeU#Wrp4xbN`|DCTM<4v4KCPpIe zEP_&ie3%?+&P^_7n2(Z1wumElNqYzDLyi)P$L!%6X&iC56Z3516L>8%6FrbH|2z^V z6mi1h?Tp{1x;+2jbLs`o_Uy#(hJ5T(oMwYBu zJTx6myOrbJshW{=mf_Xa9u3};XbT5dW86@2c*H#WfHT{2y08@G<;IvMv>Y)u;7IrC zc)L44RExIR;)=_xGf6VP-q$zN5%P925%c0h!s?SG_eEQV4bNLrR|GMp11D~YhWmVA z32C3^IHYc^VOu)Fs2>o3c#cYlOSE@dCswKT02CLB7&b#CF!+ol2Paf)F&L*w4fl*T z+5vyuQ!E>g_XbydIjd{qce;JErJ>q>AKeAg#2!{dkISkys>oW=zMfTXnB6UB=f2bx zJdR(N7KnPBu^PJz+Y;q=xk$J=Rwtob52UXa&{)l{w!EXhyz|{_EF-8c_hP?Mq?%b+EcnDStwL1a%c`tWl6;bQ!td`&xN&AjRfT88H> zj#%VG3J7@2as^J_5Cb0(KD_4DqU(Bcf0CJzrezUe^l=!$gxHKJNQk)6smpKhu^~Q! z*FoR?h#A^nG#qvn4cFz4m zL&jcDQLLCr@tN`d66kq(*KosQyYe`1@tT=9EPqVJ4}=#|?K1##tpwYeqaU*FQk*|G z#~TOS#)5#QR$}dvO3zFbD-hOlh}6vZQ8@NuY9RO%^wZmS3BxUp_F)ET7x86c0u4h8Fj{x7USp| z;7nOC*QIp!PG$#B)SW;I{CJAkPBccOQVRTf3j7@!7=`nZ9dia@_&0<1QeNrvQ4CF?;S&O%NW9w- zGjw|JX9R->TO0Z+Yx+FOB{BlYjw%hFlO_ac+cbmK3Uj!k81Ru7vJV? zzwy6vY#CHJ5_|d+}o(*Y=;#8$LOkzFfRNy*hbq& z0sk0cl@WIZn44anx~Go=>3@4O#@=r~&D|I?xcK)#DTk)^!5T=bv?pmb3(4ON_CA)8 z>ibS_%}&YXoG0(s^cPy~3=b?Khz^G1;yf-3yuwxYKO$B4y}mD6^txe}@2g6r((ar< zYr|a>y#@ErP*sTI|5v_!OYd)q_h;zxf6Hw#QFI?I0&Us}({QUDC^_ z_4vhl1Vs;Fhn&N4Bq5=y8;XZttE{a{T%c`PI}>th06+42Bx9d41}i2{+g>I{zF+q`z2Y& z(E@i(99BYy7D;PRlgkuMs2Md%=9FAI6${FN-O6QI_+DA!oOZ7qQOp&~3IhpYzrT>^w&gJlc1qf{dO zK#TeOtu^(b1lfc666e7M9ifP~`^0}RUj(ClH8v5M-DOUW2b9qB@0wf0qI}i- zn#jP4EE@whVkk(R$w zmC-PfL)i&*I}&-f&Ra^N8A@8r;vR?5U3kqv|1W65>AsJjM z>#!uA6KPlJ*iL8l71nNP;EB$$5(BmQL{$d z?Tp7{%|fcYz%GPeJ4^q;Dv~v zlZ8HWjjEIkU2vm%nkqGgazI0reDfND8&52@C^DR35Qwe5!gx11p%r92h+e;6x$TeX zytT1)&M7s~SZrHlxD6kg;RzMg4K=5B3+jb}z2bvn(`#{_TJQB!fhI>mqytt}@a zx02Idyx~Bol<}jbmT@MQ7QrKp!T$1yk_vZ}%~>yV%j(i;rY_Vismz*py8#TRCDQKO zulgcW73hv2Ynm54OLrEAe!Z2~BSBrg&=8PraJ7GAAH=R!I!m}{OE|MJ zb&3Pe4!+gR=p(Ey_3}SXSBQg!3uOd?U>y65C$33KEtmJ<2B%1*CwiZD-=>}F%*|-} z2ok&%IwhBD!@?;q+Z9MvukU@Nxpne>uQO(EKY5@7;lFHOp!Q$iE;7zl-kqG0BgbG0 z!x`IJ_8q|;AuG>FmqbM9m4(J$L*rHC?!5Citexope3(1upHa+-sEkpFuZkp=hs!@A z7HJ5bJ|P=P9q(PK9q%b=9X`$}DaCRRs^ZMPv1O}>^z*<4F51aHA?-WQkUv-KSHc~5 zVUJV{xZ;W2p8Z`O*`2A;eeejg>yWs8u8{aiVGZTJSA3T3*^Zu!BUzV@<6(l6GP2gp z5ybBOHMKcLAN1pD_NT5o@JjVhHl9^xAWexM*StUg3EverkOMdsRGXrH`lE`|K<}raM>qzs#_>N<@@7P?uCnO+m^WM(Ev`Uuiilnu+ z#31j6m3G8yWtAcXX@TgO;h$R=GSlk$`3dCgrt=D<^8$~8%t0;*m_*5)eQZ9Hi6aPp8m8KtgZM`KWLNT{sNUsI7k_{-~&bB;jZ) z=&u<30CWO6Dg5+aMn7ZV3D9`2PEiE?`+&TTf|wIrSe`GVpch(;j4#}M59}-$qM!8P z2qlm|!($5}$-`qM5bwwUpueDYQM$<;6;_I4rFPdyM;o2^sVCq!CocrlE}jbtqPu@9 zyvPgfD+iuF8?haoqpDJ}?R9{v3q(WWP!{PFIaVZUC;cgte^nGu5kHk9$Dzpk-eZ>p zZY9p9A-&22RK!oje&UdK<4I-E5=gl-TNc{ZAaDRnr>l5lvj{j!#KZ(9iiCM~S8iBM zZOTVQ2j*f1bci5DT26RiiFR*Gts@3sAT4+r6?*X2xr;O%nf^i+##kvIxdunC7#V`G zt(!F@UqYnOj)}n9*~=62k!!gX22tK#fI`G?Yz9{sZeeP!<_mYf{t0p&hLF*}22Q62 zFNygh!8n5y2dHAi=*PsU)~4g@qgN3;=KG23)6!+ z+Dwtc5p!l8qYw*SN5qzJj{Dj!scFy1=bGX4_D-KJDX7`H9XQ!_W_slDsNctl!85t< z+us!ZIJ!E0+xKLWDk-oj%PHPR;mC5?dbKz|uELAK6LGk9<#5O5itHRwEL<#b6)9S_ zd8a*lEVy|8^1$Sc4%RSHJLfvhSR7JvLiHg<&tB>1!+(sn?oPY})45opnX30+xH@YH z@nA(YmkM%J(5oU}=_nJmpvcb%H0bG9pq#v!ei1u$^{z5V|L!J zt*C0I=~`>AlnXE)r%gpVH>d3MCc;!)7inNqGnmrW(I;I>rY<>yjWvM-PUh5#AX&MG zz4FX%3q73L4r^M`r8Zu|s_m5H)YLt(?W5tuUQ!R>c5Llk*!ACZX3IH@-q-~e2!VE9 z-327G`j9T^2QXWQAXC{az@cMuXtqwksqiN6;Bsi1p4skNb7mU05xKO+cg-5o8m>jS zKJ{n)^KPm8V!d}q*MsVowZqsl?Nk;EyTlAIq;oVO39oVTS9)w=k^^Fm>${+8SEB=B zhM3a%n{cEAGuo}l9^HL74s5q-Tklz_5wJ_C17ef)!dTM5`!@S8mQ24kr{*Jcs%5yZ zPe@+zyAyS`Q_mWCj9IWkTCgAX9^VEy)bA z09gcvn1K2`Un|iw7_njkF_wb{*cT1~p7~fA7UI=l;H*4fGctTEKy#j~37H`#)L8He z*(fU~MKED;UZ|yLBQq10mj?#-w24J+7>s2Q`nqftLMlBrG;I>gIJnZZBTf(vUGASqByBC!`le=mqYFNhYL19|KjbJvJ|yg}-%6E#{sr$k!C z%v7~-Q-qAZ!8${BQO_XW<0_<}KY?{*|EtaXbk0G(XeLp$s%owydV>UdgG4MD39O8A z+wAx49{Eu=vQs{S-!g*VQ3ZBEBxnhMd_wf~3aq_EblyBBeuLyG84;|sAWVNweLZNt zw?2ojFOba17MXvkcph1O!8=v^;u4d2GYR{{faf&(&&w`TJ^h+fvt)mFFWCckCA`()&wTAlmj$Q2 zto8PM>dN!VmI~il@EF5-Loh?6viUs*mZ6SFn+xM;Jif>SloQ-8&hAu|%Q-C2!PO+-g43qW3S=#r5R?u431vXnAN}iMegsFqhCzn6^rvVV!B=S;qrJ-!6*zpie^H}hJWu`8F(IroO@rBZQt*{~W z3Cv}??~cJc^9MZ%hAL0Z)te~gQo4I6xa3dq@o2f035J!>dogU`?UFGfsO=9&2Xj?kQZS?UJ( z^&tgI3j=Z%sT3S+W>UuRB2_P|-;)2yc0oOr8@mb3=-V3l$J)HuEp1wm(=8aqjaFCq zcJ;o;q)X1tF+qQz;7B#liT;BF|3dM9aQ`2y&B!k>Qx0ZkjT*b?_@>YAdCyEq_DZ6U z2>8!T(U6LxkMQNrOu>=99lXtjtP6uz=4a-hMQiog=&FFv)kme#7PQyvYZ;k(pArD* zM9mUf5uN}B5;g9yfhSVLrB4;NzRDny8LpS@w!scSK?&XY7#*Ia$6sT+R0{olJXj^= zI0c=>r$U|+(c1vgPYKjs5Hw0C@I5|us`>}3qjo}2ZCy~PPIUb$^8q!rU=;PBGIYEF ze?8S;6t!a&nrAdvj#1wFf`57&V@PqY;?Mj#NL?4v0!ULT;8m@0GGMH5Rc&9>xHEkO^}_Zg|8L7ESE!s4%rwrNC>yQp zsm&~oyb9-_qp?x+@jJmStX{a*Um#SD!|)Bh`9kF%IN!kYJS_1~H0D)EjK`Q{L6=2X35NHViSOP#T0fQERKnsAN1pw3nFlY`4GzSQp13=9I zgJuAKGk~BO0Mrc7Zwm1Leg;hez@~tH6M(-7fd3dN1a`NK$GNW+X+~>r4!YB{rx8HE z1G3J?$GXGX?IgOZwY-5!KJ1^^cahwg{0ulP!*VKX>3Ce*D5^;5!Rl1;@Ttx&EOcBV zBg(Im8zxxBG{Oe{2WI~P6_=}%rk*(|+8$cPnx|q}?i@ua9_st%ku*jdk4*OeH)x8N zIY}H@#W0#|J+V3bzo9iz-XnQ(9oJ;K^U{vIs4BH?U!m3@iotkmiOk^0>c63@&+J^I zQ@h%}Xo98fk5M+I*3$L_lkSCawWe0H3=+*f@oxR+bR3YufMY?8Q<;tRX%p4QBea#d z9!}!&@X?kowN2qVNuG||7RHbI=g#QnE7N9>MSg|FDho?5pV-R&g(!aIne~Nb3X+=Y zM=N3TV=Vu~Q7C;v%FwV%e3E+q)c-7g|NmzGM~ME9kpD07U6c6VVu!fiVojW)YQ@eX9bW9 z;zm`88PLKag$Vy|6#I|v{~-Opaqu7f9*gvEbm>>cXH>RkRJN~{s+*HXG9wRbOcYcX zC#3!zmmDuDAy!yK{O4cxMuOm#7~wNoJxjx-AZS zWogcvdn3jTlI^J|MS|@OqKj2<>k=pG?Z;%bJ-STg+(zZEQj*?a4u|VRYq)gD;m5~8 zg6;QROI5BK5<`p*2?W~gB$p^ts1D`S+y-U0LZPt^N9A!-l14IbH@HG(Eg+JgtkpbH z3O2c7<}A>;FqQbAsN&O26x1Jk4b4!CvD4L$9NizevH@VFy#(b(#$_rq1{8|9P}t?_ zM=jrpY5-VeFF}P-a@BW&QgH_gyIuY0^*hlG0ITgKs5BblugM-!E#*UFOKht2I9a@B zgGQYN4rxqYMDKFPHOuo?->F@y2QU0Cr&d;t_+Az&=Qp0Yl@39f#|5ZQ*rzIUIUK2H zwcCqYs3pvYAN!$E<_f`?QbPx*-*Qds2Q`fDRvJ0UQ)Y*#RqCR#r#4Z=nGfBQMWBuo zhSVoJsaLt;8rA`;9q=vHt5)VH-VUl@L|_lAD_1@y?uFIhqp^oJQDs;R-9u8|2_5~x zbfCbfm^3mh$^X1G^uKkkk3dVoYof^-hq68bciA0&IBJMML&s;bQ+Veydn6%!Y;N3} zsG{gVXe+(g3sGEFdJ-8w)IU$wc_8vr!H=j4%JDjB*xY#UgF6Wj-5rG1F=5#; zkc>VK$Of_vU4haAzX`orUko;4>w0R%haZ|~0pP>Ed3Fg?dGQc5G5jEgI|yxKXmVp9 zDPy^Q47Q~kh6VrB7|r_rVl%X^*HXOeHDWZ~fx+frU1g$h+qwJ2d$mtl#M#AW*n z7E=@?a#yE*?q0+Ulh}O?Uo6cJ(IC{SXcci>Y^<8 z15C#H91@~R^MjTgy?;#$BR5eJ@PyL7B(QiOy76^`#eFpvbf95gx#G;)D75@hQ(?<; zx#Y2A0Oi$Gk^R*9r2f*Bysnu$zns_Q(B#VcKbEDxVtulYmU7BUUUITXRZKo+R4mk9 zUW#=fT!^l<`Z8RAwr*{?a5kq#{%7%N#f!`Yw|`l^MV;u*IrSSKSSE%+&A@Dmi zeklxo2bb#-HeAV)faR+7E?liGLA*Oex+~M^YVH>u{rlS%+Gm-Bxy$F(oc-NxA-S(o zxyRE(dIhIKZ-aN)4ZQqHA5<0CN|axrA1ct;x}E+YFsin`h|q9!hJ8T8RBXMuU=SEh z_&g7(4FBF(oDR`0mu$)Ho=VkXSoF8BEm85RIu&&Ic>DHeLMjC#$LUxlttMub2j+Ag zC|mO!sXdPv4Sy(WJrqrP8GiLJlV%*eUy(;lwI42Fn=#AWdUJoZj-D@45ofSV4L7{# z^W~jcz1Fd!VDR>#^)$dq1NXydA5!}3?h$eN*q`lQ zZ|NrNQG&<&+tcIbQ@PO@Vn>9~)CRu!($C?^b{&_)M8~E6}tHn?7MHd z44PhzXucHs?prR0rdQ9c*U#Jaum9PFb4jS7si}QZO{k?88uhYp5% z=I+2}-iLtsoMiH<-H_tkmN<9Mcf9o}YW--z2wJ8sgFb^BA-}kEqoUCmDqmcaV?WS< zWxNR8Q}LubmMe-b5LUvz@tUBDxO|Mye&tXt(Dp038JFo=Ap^|)#tbS~uwA53tnx2V zt}{o)X`-l5E-Fy|C|BB+tKeTW-zi3a?%=XQmbunFmxB2c4LzmzF8AMk!Y;%wbj?y+jwkEK+UH5%;9;bEfa9 zFnU_}fBC2g&9MKca~6CfHe=Vd!z?|Ubz!97p6wch_g!ci0o^%=*(Ayv@ zc|KgZng`Zbt0O*&fO1nKfhkckfT< zTjSef{ilh8<(%VrnTVH=7yHkVi>HHw1KNalDX!<>YO6!dMGXq%LXm#L1i zxYr{qI~0zG5p-Ig%Qvjx_8q`karJfz~wY?HQYtg#Gi?x93eP z9ZJ(CAoWY=lM)T?F7L1PvjbzF6V4TVu#Vd+rMlm`NwAvb&F9R>(PHQ;ySu&3Yrk6d+z3^YCzkO~8p5aJc9;RxJKQA6S-_*O%Drzic*o2z> zx%K+9=``*H^CZ^U^F226NXagKa#W_)>dCAOg#Sc;3dFhx{Yh5X5fg?p_eR&XjpWtx zsZq2H|4=SdgLkr+Tdsx92(oiHllZ^HpI9I`@>h(+MavX%@!q3V` zjAFc4VeqPHMT<&y1=$g!PImyeHUt%#<|53>Xk;3MGyhr7y{G1ZBok*!&qxG$I@*|Y zRSxyIkqADu7NR*BXKcwOyw#oB866ts2^2?|YNZz9LT|_)+C$%B)$Y*mzdoFbJCunC zN9Bd+?u97lSaeC@!}qtUA9qFBnX2qh7NK*^(3QSle|GLbC>Yk0FL$M?t)7e}C8sm) z3St-h{F_%%%kG6KJSFFvt#jjd{h=8@=bi6Q4_%GbTg3_*sOgSneU~~uJ(*d(L4R0} zUR-6W)>_i$Bpgooi_oo*(~s^y9k$MnAxSt|Y@F>`h9l*c&WAwAPrc3c1_FxC;VWHe z9T8wq9{zAdXqGD=Pqq4eLELp!7b|YMA`d*0*Uw2?Aq@q*l@})it8+HooD>x83~9~> z|20Da&7u}-mB)X&0~)J!_r1|4Ua4Ex@E`V{dQZ2{hmEOQC4)0x-4xm-2mEDSZ9;Lp zJ$arY;~-Alvvan}Y^Eia>;CTyJ`V@m8W~&^&CqT-J(U5`Xf%&z>z{*ATPC`)A4&2a zZHnTL^o!d1XFSDQ3X@HNw_r|87VkbAEQK{xzn;z; zx~OJe7gx2>Og_quX(Q6Tr4+764~nZ3b<(OID(Y*R$TYG}LAG^Dmhn_3wQO$A9=ET* zK4aj{dGU@}8*;wx9!k75sjim}_U2?ZdswlGEK1%?pXa$(9ag-rkm;jvbR~VQe${b* z(!Jd{Hzk^&BRlki%6#C$ec=ZBLYPj&hl@o^G9pT{o$pF%rQ<4L(Fp8NXFzo`Mqm~TjvDV zmIUj;KZX~oK1nMaAt$T|5}nPfJ$rgP|@{78MNF#Z1fOjMbR#b!;%W8J?2YrX+H=x|+$g6Qh+m)6rO$(5kN zWu?Jj@bEwalUQ6?Q5kUvlDo4rN?;xJN}Ex92Tt! zJOb-J(hlUPi~@r-5u23-jn$-*DNP-~qdIbVrFB1n_{&A*aza%YTmf{&y5liUH7*}vY`oe*g7eFJP z4yOG@4f=FZ!-mD70+LX`J60J~Z>?%khQiZlkKIznyuc{uhM82&^lCXhYvIkl`+!9u z%!&wAIbO!ti9I(MAPROaM9W@Q$nWKd>h zw>o|5#(`6YHdfhN11Uc(nW)Vj)5?O}x(xd$R88Gw1%no{N>ym(7bX2lt)84NHABmJ zbsrebKh8Ue+;!9j5|$Gyi0ce2gUyj2wbTZj-xBs)4m3x0eM`!3`E&iqCeZ5sxv%+U zU3dLmtJdn1WQD~C-ZG;Hta)l1Xr08yH_Cj<=9b&Z=?GsoiyczY1P*vHEr9Po%LUHQ z*ZphOiA*qWCfPP{j%9qisQKVD^QG#@^v7R{Q|*Z5YDU6S?R_hcXwkPDSk z3e4w3d+CfP$_?pQ%;!sa#>|)IOVoB#zji9vK|GmFd~1$iZWc3vy3-kZRUDf47c#9- znLQSwxy?o}8uuqx?S?Pd6r3{&IHx0VOa`MF^+tZx?oTe;4WDDoJ4FB~K-RzRatvGI z7&J!HtBqh(8cZ(I51-wYoLyNH$lI{Ct5=VvVMtRQVQMok-;B2ftIRNW`d9BNIl|Ty zTDUT64N#t8YAb!G+JlvrnLGQxQ_iq8jTWv=S_2eUn95Gewc{PZO54npz%_bGuCNt@ z7HrJgUqk^SlvaeB+ujYI)s(VAFf;jY)INJ^LI5o5Cj9EHh`IEjOt)8$hZej0XCS}c7HFjCd+;-VW(vq`e$g)5)Pt%kc z`gVtZ4Gz+v8ZAbYa4z zE$Q-ouee*?B)N#?I))7Yo%_odi0_Mz%DTNHfxC(2{dqlc%{t4JZ!W2_y> zTJ5qgc@f7_ZR$HF7?c2Bi^!Gety=#s!$DC_XMOCq&C<#4u$YABKLgo@uC3VrIbx8{5 zaK&{=%H}9)=EQk35TDG6i)Y9xW<*5`3VfqT===Q$6O%y5B=oRea zpD(GTRUjc+0Ig^qr)-X`W=@qigZ9ass(6OAVn+49ULH;HpFW!W8CAssZLNaLNJ%b= z{LFmG)+R|VOh6fHTo>veWho?nR)21U3i@=rD^`+Ayx`w`&HyTrcyE_@&-mpmH}*d6 z%h}GCGvohq|7V1W|L$7`P=-Vu58Cwu)?MpU@@lJWLufReL_+;i(tf9*89;C<~;?iIO3V!rGr12+de z8vvD>imJ)k07nLQLje(si{^Ko(x-rTQRfpY>RDrq0qen|Ad`dchjeoT?N?h!LzUq` zPytsVw+;k$1vdjxn>8DKD&69S%QuIkNrauVeFmvX_RE)t_{Q!8oEkxZN%Gwy9AqBV zuU|QAQIXNN*9}vA6b%^;^XFCuY`zg>STLZ57hW_sMh1?E0 z+w^S+Q8i#x^(wjCUpW9q#ES-*U<)FpVP^UNmgKn;c54UF#s2{;?Fe#K^~ zYw%DqaFhFs0dvaB;q-|TnHdAY&Bucn>>P)|9EQcu#oHBoM$^W?9EHWtqkv9V-}MFE z_6;A04PItI;ej9W^Hd{Zhvg&!Gt0|;fr_Fc=OrN5QIs7>yykG0d5q0))!>*$#MPAb z6qju)%V39{4BJ%jg-<~7|0NDq4x8g=uPA8dW`Aw&6g6Q}C^GS$S0^^w33A@s=@I>M zSv$OYSn{ZO^?Yh)EIUws&T5sp$J@;HrpDpKM-ac;lR`&b+PObf2H&}((Y}v-mrec5 zcgVtM`M1YZBNmh2A10%1v(kj@bpbe~)?B4OQo3!_SSey0ipr#I-~TxLJR@w??v%lQ z)j&ae?$a4(xm;(cNJ5@vH9{h8K*hVAv6YG$&Ui$k;fcGtK6lgdoDhjgHEaJ!t>I-J zQy&6Z`z;G+ZvJ$;MN&52=#uw^=gpQAgACGVP1V2qI@k;f-Wv# z_|)2)p|xzeNVgOpe{_@%-AO|&d$bJ)-ocKh;~m6xWqvsH+54z7EJ}CP<+U^ejS9 zHzw?a{^k479zvzga;eT%rOrZevfrGP|J=!1Ks0aN0WOG?CMv`MeUopuA7!iBt?FHk z&Yiu_-L-5xPQK13oO{V%RcbxK?Z~XBiB=zK(j9tr4oDTU?mAalCz9 zUzlfG{uEy4KZD?YBne5oTY!J1vb29>`PP^z%O{&=w@P7Iw~5yAV0BZumwrI-unPWj zKf2E2&GC4N7`}{cV5xjpn7BDAfH!Uhr@k?6g?)yqx#tWd^w;1`dIs1KX(l481R@kP zny7!T_>>0;MIaagakn1HFCJuALBYW9IrPY{c+l{KguUX37|?bxfxfXrJ>vQAB!z4J zoM(!w%y*-fvyQ1#h4AQmAPGaKwh#dh7+PJM$Pf>)lCz7<;M9aRxGbn_w_pfOly1R>31%1MQOO&yfeTdzwt?cbF=}7x*ghcxQE{G737H z%a5O8cGpVdUG_?^h85hny`AR1GFS4l6%{m(i5-`%WuGs08?$7RxyYdT$7&lo|BS`9aIk3OsMTUp3P;`(w6QnLDc6H40q4xSI{2Br)wy- z@%X&mdmfr{Nl+HGNCmH0$p^Ax2x8;p!ud@F3!4!OnGuVb83s;4NHAb^hdTNr9fJ`s zK#NwPM4FLfY{}AZXX=4*cZa%$BVC~uG#CnV_x~9aZ&Ql)DMf}BVIavd5M}8LbN7b2 z1~X<10W6d%Lc9$w+6R*$!4(;bj)6o+Po$&wM=lKM3YmDDRJ2bjGBg>GP}o0WAkr}qZtMFa z2UrQPOt!wTXYjw1+|{(+&_}>F0DAx|!aErHh(o;X@J~XxO;@DLW-}HocRw}KEuyXa zs@@)P<8JSv=3PmCb9`*z{T-Gy+vUX2Y2t83cO zaTBez)cv}=BpIxpJmxEjCXQ|!FPAjL*@gAEfgy-j!pw#b9%k(uTld38;4dE(`wtnT z7aO7tAC$Z|w(2Lm3Z8mD9hbC(yQZ6Yv}}g0;hd-Wa$5^ny_mS!@G*mF_Zyey_46y2 z7_+0$L2V*vK^-!vuv7vg6aUyWO2Kc1V7XB|(d`RT;IuYr=#%>{%iG-b)(c#a5m(&cMeF_&y2N zI{VN`!I*~lAg6T_^PHVJk31TAc1$3TyLrFF-Fsr91WtEj%JdH$V?$2llT6zcl8Wql zuHFRorY2Iic<+bflU$9TLJ-LjybWLjEyUID~$m8F89oB^>Fy;dCd>_aMV#6UDb{VOlrY=n}Fot~c#st%||!{GGY%1KmUE8LNHyiXBy4(2p5)NYIZPl|s;Oh9r1S11@)oAB+?f z>1S}3W zwV3(mU@{epa+Z?SmWcW)6Z4lK5zIq{eghL`0r`OitQh56Oy-~}W33`{Y!Dms>e#!%-)+3ULw>R{#4N1yYsskM?v2YblFzvvv!v}b6_k?+g6 zN-TNI&78lGyOk19W{Vdm|A~UuOO^cr3IfA(-*duX)5TWJq8oe|XZ?Dm#xprxB-a&AG>S-G1uc6B^WgRA9xej{x%g3nUT| zq!j`pUvIq?^B-we2dF>NiUhESG>}&Mt>${CUm!67uCV6pt>rIgfAp&F(dW0}Fr!lK z{av#lgSETq>6Q^RB%s-SXxh{OMgK=Jptug+E1YmJ!(`` zGC&KvQwi!GA%@;A1ipK}@aH#vxpncvHrnDwV)&E>GR22JdSkEhVOA{v^F!G89at<^ z{$m*E&Cppe_ZO5;+3h5<560o8p*1+@#;T;pJAGuP ztib380l22p_QR)?RB+?iDXB6Z4R zT9tjnhVt}5GZG+Epiaa;1bJPrn+aZQ*4bt?)15Lsh@w0X3I*M?c?k#zn9E8}nEM6q z8Ha4^vdf{OC-{a7nL7tKcsEQUKP*5-K%Z-O1CjQy$9|JNu>^uFo;L7z@Gb;VCZZ-| zKQR3wK-%+%fcaBcUD|eHk{L1)Dgt`qDK(n^kBqws0ZZC!t%G@Dw1AU z6~yH*2*R+NDcll4!Dpybt}aOCV!gest(lb@iZJcQRw5H9z{Yz|rfS69z&5)*zS=(A z%V12Cdf!1Px7~!&c!$BCv|gOyXz3Wi5^=ad|O-6=(az4NIcj7>E`pAJ#|~@;z`r zsVb0!nPzZu&z6hU8rtFuH7rS)HFSuUI))j$E6d!R&S8k@gLN4xQu48?hffC=yP9Nw zfghNQWkp7~6e#rhp%&@qWC-Nd>E>_W=-^43@*K*Go0wxaKe+3ZwXno(#SU6_w`ceR zxVRTtkkFPF+(A~moADI&^$EIh(>1>_-5b_=5ZA?pOTD>F0h%a$p@sY1WarhEGWR&d80Tr2=5;?;-!w6H~^M$D;Y0>KQ@A^h#v~rp`(ss zc8Is5GhJ^SDE6C6Ra4$g92hnz-1%i2Z0`*dI09cbICsWST*kXXW6X-52hl39`}eZY zf)}z?Lig#i(48N*%1wEBJwjA9wn&)a+g}W)y;N zA9uE+e~l8B`!8mFa+%U`xGKS~U%Sw!IeM7#fGg#)u4E?OKJUFhfAD$PAzN{NzPo8S zm9PytON>W$$Df?Xty3G8I8=!*IkG4!U~`E6cO^Vq^4twDIv{i+uaS=kX?6)HI?3Y&4cN9P@gBq4(S=$@mxedXj#! zxJK|Aah~z7a?6llmZnJ4RvJS|JEiD!$yMc@LKbVRUvPreB5(87qbZ832|I{e1@Su_Jzvd8OyPGHZ|117%RF-< zuX0|P73P|T1*b48EcHbgSh%v2e&(tQ^x3W!9cj>$Z>*KS3jLf|Dt#>hN}9G|gSnnI z9YASMfmoP7g{qMOc-BjoetTAAetUjbxii3(l`feWrvp4~Wp%$jIw~vwR&{59rLI=% zGR_L{xPe;!;o1G&=g+EBi{HxWQuQC5Kkj6#;Loez%1+T&x@MMT=HOSlwwKrB0n_!e zOR4GHVPD+CV8J__DQvf5fa3b`X+dwo@lK)C&vR>ykYhA-uhlc?>9xu50~IOZyYnWT zoudW2mxi_(vZc%grI8oxg0>0KrE33#%XbsX$~p~a)5}=<8(1GrUGv&gM1Dy(pW3;_ zC2B69@5t*L)OU%jqvv|~iq`&qn(hVTH9Mau_uj?@D{D2Jm5E8)IyDXfhG?|Fg!H=Z zcz%vN?iLN{Ut99tLr^i+XejlrI&M)E8-$^;_9*(U$P}gtVr&r-@;D<1m|N512G`h= zR0vi{xQkGfY|WwMe)ghnjYRQ=?$)m6h(Dn4-qmR zILio>N7J~5y=0RX*~^TX&WrlGtK~*tMYPWvP@IUZCOwRUmszG0`O>PNtjsc%+kpcg zIG<#;G*!6@>Rlj#aJDJQvu?Od?9QA^1FXI_@9KIqrn^-HSbuE+ahARG$6^hmG{Z@u~)oG4s!g;ec&=? z>FU-T;PkuYiz7e{aQ>#UCn0QTi4sQ#$Dp-PGKv? z1!0gc$Xd`LMF(=NfxR-o+9u3)9=gMcJ)$elaU_YAe&I94>w2l-McSl@z7uA06f1O;&&tDU z@}#-~8nnojvp7(7+R}4&q`HHNp17ueG=iUWW>Atr<7d$Tn$JHXzLGp!YHW`gF1cU1 zc)tc;$?(o1WGmY631%z8m-cpemLa{yReenSQ|MfNe~miE8Y2lUb25GqCG{ z1a@?6MbhTQ*-&RbB7cyMzF@e1suqPPY9q-WPAhP5V>nnYY}?Hm!g;iJV+g7IBhHWn z#N>g0>X~+K41?-_>KC?d45l=H>Vmdz)d#@)tGijl*6|-Ba3Uk+Ok&*0(z_I?BwraN zei+3G50gyCNg$KP6G)N)CrE=(q=LyaLjN>EmLDd`iIX58jpvsn^8+L(Q^6D&q4SN9 z#fM2^|F4Pe1ZjUjLWxlZr9^WZCFjdV0)8~##AWf04c|`jPNxi~vCLVn+ZYhRge zx6du?+=h9+x3(G|_OE^2zK-)CatRooifC=^-6MSvKF5p6MfV8^nv^+DeYHX>N|?AA z6ypJXP+~1gwXE>{2Xg*_*#8ky{y?my?03tFn57KUnr5JX!1o{E{~tl`5AfHxZGLOi zF+l*_O$^V^Lx)sx)9H&C@c8>q;qj&ib<$dXE$WVnQ4d6OBHtapt}f=%zNgP_cc40b zU&%mwnEx5kykFNuC$3$`T-5I+bDWpK!SJq8rDO?*Q+Z5GUG7k&45Y6D0RJB#_-}yz z_tmLo;_dSC%Etv^2HQ zxE?v|z-(4=%0+?#t$?ak2>oWb9((M3cB|O!I)Ntp0NXu?tr0jyYc}!bHG;@i0SuP_ z`f~^le&!+IANsByy_fe%`)9mt&1|Q9pU)83rM4X%gXLbBqD%#|exO_@q{J5W1#*j! z?@}@l%7sEdeM*U~^!;XGIsP{Bu<(FEs#$F!>2hfr2uCQ3W?X17MG*Fytmo zcz_f%WYL|h<;tn<2M<|UH zLJxt{gJBD0*hLr#e5M6!c3{BIg$@dz0Lw1Vc*sAT14<Z&8_Wv_L{DEU1QUu_T zz<&S?JR}Y{jeP`0SAMJ zfxP4d}f+G%YT0^X4e1x z-^m*`E9}UF8xNKAuc)2hnS>ClaqNh$0A* z3?~SZiY5TRNml)|PgjmQru&sLrPIh@gnLxL$GkxAeMYkTwII>|Ns8(}cy=`u(3Bvb z|C3&)NdM2m6q5p$zMS6EK0Ulzlz`?jS=fChE{2p@fS~hR7?+i<+!#CQZ!Dp$Jh*Xp zG>dA#c<5+vDp$>mXlZGGS3EkmO5sdwd2x1}zHsb*^9+GOXA2q*$9{?HrASHvIF@sG0G=HF$u3)lppRTAubaIwJZq!||w zLx=UF(M70Vk!Q7Tc)|@{D8f|~P)iFaRHYQkl76Vuh*WyPtkQv8MlhL`^qT(#LJt^#8|`%)w(!LEub67@d;7gi2z4uz(UJ(k zC@qMFGty8^z_7h9Y!^=t8|(#?O9k0#om^9KjBR|lZG6(-;qP=5=_YW}Rexk_0qDk@ zKu!4`8j3Bn1X~!%CQ^v4B#b~!14QdEfxi-P@>PEn>u%`A%|K1t9vb#7vy=1=_-Ng^?!%I)%APEDYi@!Y?&mRFiBSYU&z(w z&!1aAS3>eCAftIF)_!P>{~P2-02uI9WQ9nmVaQ2{0xqzGr7$++r^E)8T*8na+5UG> z5B>#EeSg7j*IxkF*pF=;!M2KK(?qjwtJx-3@0$JXig$k7tEB%$Iik@8&E~=~@P0zn z?BAv^%eJJ@c^ZX=4P@hPn(qRdL;(%L5LRK*k->d^X5xQ4!LG+*v=K763K?9gc5RmX zc54C$pl?CY^}y)_Vz<4rxIS52UN3G26?MbN1)yg^&^^KGTw}KFG9iW2pyd8yw5_hE zvKQCh-F*>}U02#2i+-O`e;YLEskdr0XtA%(dcLWJ$H^qlqxr!lrYwgvu9UeyAZN*x z#%Si~7ij|Ts8H%WZLlE{!Hdo^^x1>a!r{IQT{n5pt6g2?=4AfPjJadPhq)8~{nidV zo@tLI!mOgdJElT<^7B=RbDss?=Kh%6)d7r8N&@rnAKMQniL~ouyPaW8&Sdik+!F+{5eF zxjjs~r+Df~s%-FsMDo+NG*0)uRJh93*^5Mvj&^&wDz$UWyAhrsyfs_r-D{ARHf|?3 z0j+luxBHPI4EMby`AU;r9k+oYs>vMJJxuV*)!y?&kBoMEgfg)QF}!B(0NRVJmNp@+ z_uT^$ou^lsO78j7`Rqg2y;Sx}Zl=9N({F9pV?k=?!w(}oD|l-Y9=q3IEuQytdrWr+Fe z7agj1$1jJCY&Jer8)NGov_4twZtYnMCC_sd>RjMweb(n zEYBw=jg>x`Z^kd@5jGLW9bS{qFXJBT9o`Qn_l+*Cm{!X3K3YCyqI@`I4vywx=rEj>|b&y3oh$EOF708hCXHQ1WbOT($>}a94 zwUGOoNkSbY5D#btGFrjx&H-EsK*0TfU;kCo@MoVy?sH2bn5YG_%OVZEp$4~*`&an} zAd`pQa0_G{KyPycQh-G!l{|m`GesnjfeyXhCXRR@;?GV8sQOMY5wW%Ny4_My*?HkC z_2$)n(pTw{8R@d2vxLt^&b}xI?8TUFN`BKE7P42Ov+9i%b@AcmqU81XaE%}FlkZxCTkRHXd^QNZ8?4?eY&ezRJ7vafl z-Jzvm(Z&~Wmo#5QH-Gj`L+AbW{b2fWb5G^IYU)+4YT{{o)}X%3I!(kf+5I(sJoU`P zq+d$wY%qN?gp1w822##a%;PvK<6t=$8b5frx9@$U@?fm6Bjv0Y@;=M`()O*8?(!NJ zQn&q4b$;dUtlgD&S7#Ubjjpo;D{4_UyR)$qu@Rp;WX#nTjiE4-UU z8gkLLsoeqNv$N~x-jMpeKDM#c#ObCddyy5!9ny871`D@(x4y~JGbJyb$4pz3wPpHq zkqyYROXy$l*?wo2lz=I~{1HdG_dpMe7jpKp{xh7e*7WB5y#1^J%XOzpz(!qz#FckP znKi41_sts2_$?C_FU`!Q`S)PC2xiZ(yIZqF2-#?9FYffUe0V8uu8VCC&h@KY1M68tc;o1!l?WkLi-UoSmDUxV=-6bhwz3bY_#4!` zbBlKmcQ)r2$CL5HsY?49_AZycVZ*gb7PM3AbF7ulOv`P8kubDk4)&?stV35CqiYw=P3SD@~n_C0hODw13fu z(ngVK6+ka6)s8SFVRp#Qyp=~iN$eF3I?AN@laIA@CSx$_dkh3}xb|o?s?^$MYE(_M zX`13yFd-~xIG#}VIH7fO7Oim>ZE+Uma&m#1yshv@b>qpc6^HgHA%PbW9m|TKW`$F* ze^!`G;7|xZ*ceALHJzAjL;v`isI$1dCaca@aTnme-vCZrbi)lf@-`nC0 zK*4t+=l#;-1VPSwEbGY(w}_eX*di1ZkjKO>-^6uQ4Xr~0NzQvN>uK5h+XD!se^=?c zz{lwsLYU^9gYEi%hA7HDKSj}T0zb$)pF`2H2Pe-uZ$r_s0XNM&&qvX*3@XeRozQE8 zr4^*LsuG+npAIZ#?&kDRbsJ#pU6fekq|_n@KiRd;#Hol6aFT44g_T zDG<@h&0m&3um>58SOjeCK3+&E6_SKaIJjoDwMJ>b&Qce1m^vS1Y{kWi<{ckgzTbE< z(0yQO`(ooxoa3RXxhxvD?`$bO9UUHqOh236OpU)`uVAN#xa|GloQZ+|cyn`BTfgm$ zEgHPtP1&&>W8m3O!9ocj{EmY(3>;zG=Z{xIFc@enoihk#Kjt?knxB-V`8m4ykl`~& zA^hOnZAu!>Y@i{QyR=ZWc`pMEPa)}`<5r5}mQc;5zWQS{PO=2Y?(`C*Y8S{_VyGV^%M>F z6#W+gq=|eV>W|6Xs!Q{hOY@q`qyI(_RD|dS@tr&t8YVjzCYul@`@a)lrqmR}Qu^+#^)M{bQr z&;L=--Bj3{Jszg&OzAfy=0&%CYLk}F(X99=XY-mH1P0d;(djIFy;(H=glt?Yp= zKC8`a_#VD9-w$t^Y{ssSYm0dApI2%t3aBMJ$f^1t&6*!-}x*v;f)KImr64kPQH-e5e$iR=?jj)erXGi zK)m^x+BMQ#f4QZMb*C^$Lk0$gfd-c9A~@cqHVuu;;46meIvnwZWWBqNmFS*!vGIB$ z7Hh#?wXn7>9=H| zcDFzXm7}<5k@}(Dm_U*0Au1;B^^_YtXS-n0@S$(Wgxyr?XHFqewaY0Dc+OTqQ^+Z8 zUt>Q;9C>T-5jcN)YWXzKkxKq20r_BXNjv^1wVZ)~9BvrYnuG=x5Da^NnDxi2TOTVR zA^57+Iw9fy885RS{NRKSe-&Tq<`C5jeep{=_1W-(>!}g$!|vf|9A#>!5Y_c=*dL03 zP$filL0^5*FCd)sWa^j`7N&JS$KZ&wt_MC~WCDvnsX~bAl>X-_b))_fXG2SLK+D7z z{-iQPsuOmKlQNFa<;R_qrV-b--|XgfA}U?_wB*X=zEzYg_OFpUVgZfMhyrjuQlJgaF_;!T)aku!I9~)3}`jsS!O3nG}-0GwJPbBD%6_0wJe?u z!Q-knHS^V$ev_&vU_r%$#;4|WKrRs(>Qu+O3+!NSEKwUM(#Kmtklg$>%I4918Qpd?TkYfsc!tGKZPv)}|L&(l~( z>je&%2^_2rf3a41Vh47>F>VA$+kuWY3mmQzI9MO5vsJZW2d=|0UIa(GfsVEd9Ig{M z*c|?1t9r!_e1~KF2#)px9qkr4+$3HQYgJ(nti4FrD?H4%QCUCGjZDOy{ z(lXm-L>PKqZz8KMTZ6+t4wzJx8ZkReVBH_%6qMk(i>fB~Hd5&MG zdqUp366B)77vI^=_3g;(WNdHyWr6nj`h}IbA7kT8O>Z^(oFB2kr8&Ga6Hd=0FV>_t zyp}M-a&!fFWr<&hm7UGBLAP7Hw!5jbHWO}sJlMdbSE@F*f9bNv^3a-?HlyD*#&7{|*b}MyB zep^yOka34Ll_4HBd^o4>;m91^Zwcl{6S}Y+)#BnPLpld4n*+sJe@+o5s0kaywl!@2 zIO?sVQkP{B|EjEC9kzd#GgQhOV(1HDYy;EO>?BZaF0h>t=!`d*I~KB@GYP?kcs?BX zts`oeB_;o=qHi6sZ&nge3J-9o2T1Ha*wid4P%RaZof^pWEy#S4p2_)W-jb|bb?A0K5E&O}xFS2O) z?OK7J;<93;i2EI~<1_Z}9W<)To|>`vlV(H%K9Q;y z<{6XU%;be4ungou5m;W8epZV_G5mzVJKo0Uf4eJmFTUr(N#irvS91_#>P{=~#%$ot z`t@8li13~BS%1g(`1a}G#lGW_^?7Hnl&_F(Qa4kE{D5xq_-DkmS0ZmwhEBTjP3hC* zmXn(YS6cFgDMExj@0*3QChY@F$69h;_M}ecoBO+g)52D=#*@>-FLzys)6!Ztx=A08 zT8Ll5SszYL+R@&>43<3A7RD`gbm&a7FGSI8HeRpq#}#m}tv8G(Y5~Yp2`cDA1El{ zDPc_6cbkS!(xJdFMep*R_T)t@`hp#H-JAB*x@ydz0c6^~E+sj=fOypd`)=z#Iv!_630`!LYCaGJTL8vs6^WpJ=TGmBv z_(1@#p;P3c*oB}o3f{X_dDL$DWlABpm~=?10_#gXdWPlruujD>WOiYh$zeIYkWCO~ zg@j75g<|4Xn)05yicx#ki115TmLlUpb6j?de#D%YYn zcZZvI56i>GkK`s-nitpWUU^U9CRbqQS%&+m3O=T5$1j(v<1_IYxF>Ytx?X(l2j6xi zCazyB%FtCV!zRr$-DR`Wcabcwp=jN}vN;87-;-BYCI*LzEjM-~QHZ>%jpC_X4M*H|CU$*Y!bR_WL{3`0K!PUQc!6f-_auTe9U@Ox{_aMo!-%6^?cj_ zAccRDV3j9;6VO9Oca7D{sr7dL4A4VLca8Sn$*Aot4S?*B#8F#xIVu%g{eK-8=&%ej+pm3NZ zle5xsnI|jh98+2^UhA4a|IjQgHFd=Bo@W?gDs~2;*!xTT za#keS{)C;!{)w~(jYn{7)r&yEbAjTWWdpVvTe{Ci8EfN)N##bzyO;Cbo^x%-JG}=X z9UsKa_I&Av$-~b3#rxC#S@tMf+?8$>sQ^43f?kSrs^>1mzXr&QQc?zm-FYh zZ})C@Zp|8A>S+Z9>K)_u?RD$p6&)37A4_HLEUh|g-d}y1Gqu}wy`GgnHplThLzd=? z?)X?QST~OGJzo#p-D)*_bT%qFD>~Yqbw9EZQb9Uj*?rh|&4f?N!pwb&MX4C3g$Hbbe_+w+;qHMfFchnCKspm=%BlmMU6rB@KZeC& zM!v71pMID+7BQib7ot$3ng{kYnUG-`Dz+$*s^i*sG2IjqbGkoG-?c|Xhy?!7=VoMr zZ+*dF8Xkd`Gvd|*eRLGX{w8dc!=Mm~UgZd)(c<4P=1?8QFf3dFw)I0=y*3I-f;Mi* z8L5@M zB|xbnRI!6{(T3y)5N;x44Q4!?ykOo;KkAD#BOGd+^q3#CHc(!`IlpPk1ZHY%2tnK~ z8KZ&!Em9Se9&3y^4rbX%V0OIY9ZWa|9e@20I6ay4yG_e-#zgQHFM5QCRv$Z$he!Cm zwCL5f#+#`C^iD1&A(Qt{UNEP+cp)4mWW+H3^Cz@g>UVIUp9~b$RS#f;yPpo5lMc6 zF%CQ&6TwKipz-vmw&UqXQjr;-(sD-OQxG*k{SZDjEC!;7HHai9)AkymE9NW0g^=O8 zG(f0!36MeR00(6aVpidm;}_Ou)eyIaNI*3FV#&Ioo(>rw!VNoAD=BvzmFsdG)5$*$ zF%f<^Z<@^C4l&V!PTb(y=5D(Q+v5~`4n7KflS+!7TCr8uY8!%MSc;hJl@eC$h zs?#n!(-|&X(2HDc#qnZCU*k^ees?7Zdu&4~`j#w{_ug8VV@+@%jCx0#j^P>^VJ!i4 z46CSS9qS>Pqk^hR{Sh;eC7|zI6Ls9_Sq-^TV6TkKw-NY(o_` z2sS`(Ja7U90?%tZ|2)KlI$`IA0umW3YH+B@$#IHE9LRDZfKL zZIU+*Z6FB@ng`Q^n9F3kCy0w+715HED51xdDxo7U7Q+k!2IU-JEEK~?9M1(M94iM2 z7s_gj@5}`WI~@z!1I9L|6X6qJ1OY}rU`S-P#42W%#AXyLq0g|Z5DqIY$x2G`ybPsn zGpV1PH7gzjif3LZVBsdOJ~Z)~PlYO)Dvn!aJ;e-cqYzKwG*i?`#OQua%%6!*Ph0b|?a>Ezf|WgYRV&bP{6*b~d&- zGLUWrFSp{9f3qg)k)m2;;eN;yKba(TV4TP!-2p4@gPF3$NH2h{rO5D1xyRLrYK6hz z60vj(W0-eh4~JeLrbL$b#^}@M_$9sPQ~@h7v*DiJZd^Z(uEdnr06sv$zm7$2B^CZI z{DuC@SLV!sDLWb>Q@>g5V9f*C-f{=vZ^W5LoTgxS_RX%CDJhHkyZ*^VTbX2KM@07| zh0{W87d2yB`#V!yblJ_Jc zCF{EwWj$-^6@j?rrCOw*qi4&~1mrX=& zUM{QH@X{H#UPu4={kVVprE_fKZV|nb|3Y~@m5lIRi_$p23|sWYAvR_&`i=wrqi@Uw z6haD)8rPpk_bH8q_v*^ABG=((fpl?m|ht=E_jH$Vhqp zFqVl_Ui+z?{U{uDFRS@dR$@HqC$o6PS_z34vbCPHq=g(?EB(*KHU@Opuv(gnQ=BrK z=J^qD+T6kq;+Q+MH%ghKr&kia10wur8VGFYG+TKA>o8d#D>#f_;*KwBF(`vq~d>v(=QwCVdK z8R8AI3p&>#o(Ybw-kigqA3Y7KR)3s&7<q zwH{_RyHSEBVH8G$GlMLgzvpp>sxQ;vR)zX`Wy_m7aam=04y2RX>erq&EfsuwNK> zB2_phQP{avocXjw|4ps!A(vgB*p9Z^)os6qq7#XLz2JpEBj?DAk>_E>dDaLQR9<`M zf~#C5*ZSljgl2DH8i8QU9oT%xYe0Vh4MpWZp)!G|eQOm-Qi=i#T1hH}|3^zZ_Mt6T z9G2uviStlh0%VLn-a+(epz?R8fgv#NmF@1=>+tG+c9b2Wu;Q#0S%S)-*L_)Hop3}V zYt|fTMTl&O;>dhebP;-o>G}UCd&eMKmac2GZQIyw+qS*iwr$(C-Meku*lpXk?c3*h z@x>SS{J1w_WQ;k+%vx1hk&!iXMXXwV-D3Y<aDMXnJXQJ}I?wg3uV|1%|K93c|4{b5y={rS zub3RdM_)$wt>)|5_*@?6^JsdVUi5JMTs~XOROPFh;?=dKrRA&Cxq-(Yi0pZYWOOW$orkpN?5oBQu!xm2K`m-mRtK;jwq^nZh!wsDrFF2Xy7z5fux1|a}@|!Qw zW3xz~6t-Q$m{jBsyzGPwdDGm;8(HuBT^27rqvuX9@v5SPJ}|lsKzZ(Fjp~)pXBMxU z(OaB{X(F_+&K?0ze$f~c<$%1>#fr23&cOr!PM((kKxHpr#cQrX5J zdD&eQ)VOa&KI0=TsR0uqw_O%0JEiIlJC)rarJXJ3Irwy8ku)BOa*l#Ml$AHb0>a8O zLo@_DbpueO1L#)492`~t!I@Tia%P5jTAwsXqUDM|Y+ik7FJ-W%=&C+9dzF2xY0@t_ z46QYPF827{k%9DDRD!VSE44xq^?kQ@x9js0mWvQJYLlyEDu>(FLo^ zf+1hQb5on_cvAE_F#7Sh(nikOx8>50K^{jsw_-cWF2*V`OlfHKqBy2^#MpY)zwe(z zE(yOyj6DK-IR!vH%+N#D3p3U-Q}2mLZLum~LL@VxNgnqG%v&7%u4{#9(cLd`;6}&7H zF^lLef$%M)Q)gv$L6{uj{QS@L`<{AS{lJ2rum>?}F-&%e4JX!ETatm&)}eR@k$oCV z3q4;M#R_U}j&KIVWq9)yYbe|C0wRMOcC2{?!~)Yu(PX0AqYD~6pywIq1f{F_-h> zo*5-8Lous*ous;Zay=BKF{<*IWD;$zy`sjiXRHPaxwS++TUqB!7p{cG&;viRaUyI@ z?&7FqCI$D*%;~Z}QOXUk#mbo1i^yPAW0<$r#ypUUPHD{J3fo)(w3r@`hZT7YyHIDy z)p`ra8db6+?2q+^k3l*-`ZGF&@CutSbfvoTFlS8lr3^%g#t-i$A~5p?7J$m%Oz}P5 zG0SKvNFo|95S?=2W&2(q?vGQ$1l^!^nAoP5j4r1i+qj5i%+jJo*f{Fg{WifFgR~w+#`fDbzV3KP z3y0rccysE(cyncu3$mU?E?7iO^LS#~7HrJ3W@Zv?`TiQ^Ov~7lQK$xtG|w3~T5F?+Pyc((v#N zD^q{*71v$5{B_}%2OY|s&F~NwfkXpFk>{tBY#GNLW)J`r08KWs#L1#8LM(y>I|NPU zFU|gg)}n|?KVkyM5!2SGFBJ)xnB-hGZDSy&k0m9a>$emlF{U-DZozAkZInX{1jrzv zPJWwrU{L3lw8zLaryBt0RR7z=yI&M+U(x^!1O!(|XV0zcZ^rynsSN>vnNSw|5=!kX ziU`d%03fJwpi`p55}Dx7r(mvQHxg9gvQGLbxGSPTqLGZLh9lXR_5qRe2Lc^vWQ()F zi2{j+hm9@-)D?G+T1C>=N;6w@>d;tpYX3B?pQcG8xMq!Yv^#mMG8D8%@oReStRu== z35c_b%k!ICv6mRx^Yeh8*E3_8l%)f-Z!2DKIJ5Yp3l~A@Fum9uBgDKnH%e*s$)x0y9)ts7_IC?0wsO}|>84W*S*et_I zM9FYkrt&ziH(`-`#!u_3m55jzSZq+{(3DY{MbrlWK*Wcs@D&c@Qc<>@^KD}#ebyU1 z%Igf>f;V8&7yM2r*~X)crTJ}j>EZ@M7r6&m6c9Hvfjm!$_eeNR+B+BW!XPRKH<<~U zA|(}2Ilz-XRe9`CHoanV6I;c~P=`TN-ojLYB9;6RUxF-ES@Wen@3G0gS0jx@vW>ct zY!8vmn>%3k^x;i*w=!zcL$pR-L!hd$K+rr~!(5+pCR9J;480!t9=bre2g`~OT<#dJ zGt z!Y$liq%z}e_OH)~y9kNJsPmDAQLh}GQA)YR95Y>d+TQAAHE)o=m1evNr^|~~yy=}} z`F@dFR)AZ|OLBx3{2?SD0y`N)Db^=O*3QkIOoS2d&)Z%V{!Af1W3rw3Lph!9-tcx1 z&A?;py=;04f=Qv~J2(86*et|0=0)@gGZjpFd}w zT=;js*!OK#{AV`R(DTg6$mjQpidXO&A0MXAx3in^+s)hYkHB$V_zt;|f$PxBf#c7| z@tW_Q2UlL)@0UitEw5Fr&Th8`cYAL~Zm*A8ceKmbKhmnWMi9@-4c~7ywz^$D9iGm& zZ`(mz^^x|t`Mn71%AWCJL>W?LmCObEV!t5_RJkzE-APD+jO1?!Spb<`KJidzj;?Q}AO`v=!9F zRMbY1`u)`eBdUWCwQsL0CTyv^4lE9{aQ?(fOI{Np_smFw)fXgcjBxfGUB}BZ-C#4^ zQH&=+q~9SMbLzXGf++gau3#8vU7@q&)z$MSg{hzxA&nCFlNg9U#xnp_kk&ZkNKet@ z@eJA_N*iZ2P|uuy;++057#41}$SU%Qa)2vI=s_ov1^QDdMEwvJOt+vMv~3ZCk61W% z_qll7eO)4VfTh4KE@$YvJ;YuI^qm#?5f+XgubY+(v_|ykKDX@rrS+$Jxizy>iI0$T zi@R2o-08L_SUSf_{`1z+#@``I9ttx^=WPpGT!+>whZU@jz@YJ{7PohRG0s86%kECfKjjg7iT0Q?l-%#kMMM?*+{ACDAFwG-345>;!w1cW@Q%A;%}&5?sJ$vLdVxp(C2*H1`N zZnUo0iVU1e-D|HrlE@Dxy4&ea7(wP1nInr!vB}RcoDvKU6Gp2cnap5L)o8(72h1)k zru=7?qZ99F{l*Ms28N2Rh3+RFMm^gc=O(uOT>p=FrUA2NHL&YYB*QjO!VwDb`sC4o zKMN_6(ZmO_dFs2?Y<962CoGx>1-#19qlk!7uZQneJ^qC+8LA75EblBVUc-e+EMw)ZXYcn%fOqjidequ?y%q^20Q z*^M#oA#q{jbwm7P&PwYlp|7fPU4lT#CDbBe68Cw6iU=vYm;kev6e^wv>{?j(ZQ=P# zoU>3FZnENM;s{0@t&owzb$xi8)J9w>vy}36&&{BXnMwm6*d3#@#o4B=#RbJUPV477 z#xG7mNl)}*AzUc^=?F5zu|?Si2V>J-u{uno2hW2HfmI4oyW`ML{>C^y-P6Y4E4UwL z9g8sWUh4u8DivM+)%_Fh^`q-f-=;hBGVbAr&P|MnX(H1LDivr;00YMdxSZhh0dQt_VB?E zoHdgu_Ut~m3T8IY<2kb?jCu$P_enDGQ5{&fEFkpI7&-#|jq2vw@}OIK>!x&rE?fJx^tNk23E} zrC-=ewEu>i9=abaOf5`zTb*UQR;6-fO+tj0{vn1AVGQ|)iko4 zUg?eFQDCY^$^$g%?o$&7FRZ^7R6YSKiKgLH(umj8h$b)OQ=g6P;!0fNG z;Lchgy)IXYXn-dp=d}Of3?^u}Ob{|l;8;vFm5L!6FhPXGfyRJXZvHWZA#~Ah^LDYv z8QXRyfLTs11=3oZK5MeU+TXjTUI8fDtoRLeC`9{E7cuG|k-enWbNFarr8Tvi`i0y!0lB(BqMXQmnl# zdd%s?DiCOqMNOuQzbY6}m&LtmU+;y?1#yAS;ewMM!2{Qi_ z(k0{r`a;Eo`rjVg4D_r_|Gf0q+7^2h_RFV7@FsNlD+zPz97qIEGX_r7Q9aK!w3r76 zty@@PfzxH@rMlI3TbsfGM{MJed&3H6;OQ4#2!1#n6LF}J;^cgysa(d;=sa1&=i=+) z-Q%5uNw~uy99(y%b&t(Q!)MjAYRNHOO2hc!`OzF}v3+xRbkk(HgiG`Hh{bEq_+iEB z>)n~v=w=;h5FqmwL6a#E3XG~G==K#W>n0uGWt=;DEyxCD|mkEx-rZ>df5V2CO&TJ zV);yU|K5 zEV3qO!)O4zDcrGRYO!HlH>BouHzegJn}G2PX=xVekcXLI$GMfOe&U*tW|qzNpYRJw zSIosX@MX?0iA%{GQQr|^6irx_1A{{{Cz$yxzKJ)@%OGja+=aJ9K5+e%!k&pC9=+D0J!g z?(wWsskLs$&X6Pjgm_&h)oz6r#hpss{}ndymw0|xi(@LVHEMSwgHLlx?+WqwYyEJ2 zd(GI{%YnH8Jh$I9JN8yJzd)6taK=D1&zLtt>!|SWf779xIPGPh{3q5qQPh`myPoDJ z<$10AC*}U%X2+(N$QcNz0tFP|Lh>+Cg&Fq9TuBro(G>xcBwQoW)&Hd^-2dBTj(Mkl z!)0~^Ka4K{z;nLIVRypV#Eya5(E*>Pbn}hkj7kkIXPPU^cCsML-V-ljpQDILiXckf ztCY1@DPgZzt5GR&sZi=zEZd}9v{ANT<7~ptUW=Bz6fJQnRO*;5+azDK8C(4NED5{L z#eMD>j};MqI_Q$M(zQ4b;`L5^+FZWABaC6%)Vw5p7_=9cIt5OO$OrUPTDQmnf+$A7 zPQ?XtS!L@BsE4{#iLLJMoy{a23i4N)kg4YPKP-n5;)_4jT$y3|jg{p_c<%|pQI1RoatBbA>0RyKGDn$PfHq>4THFWvy_7I+AjoZ-VB zf3iY9*_EHpKXUR%R$TSfY6C*GSF^&0Ou~*?F9LLKb?e>;glyZV-2@5oz(pUE3-9+psa)$7AonXUS~4KOg7_m&>GFC~0ce&0 zg5yj`O8G_OjgW#4q@$>TPO1}9@#a!>^2kbd+L>Q7ru9+P0*(xa879(<==ioH1EZQI zbfDr_56|WTk7;>tr1~j1iN`1+b5tHn9n4W$g}8$kud08c4*|h+Qi4m{xYN z_nkJWK2k&OeH5#10h3H6x!ZfpQ`PvpAq}p|)O3QDugFly+C0^W-m7!uU6-*P`0yls zdZ2uJ(0V??bb3&}JIfO8bzvr@I$R_`7}%mNxH@Dd!x*^!6Z}jCU)0902&P1Vi<*EX z-8$m1Pi6VHiiq`Gfo`&-uL!b#{AQcJ+IPi^+MmF`MBt+CAEW-qsDD!pq;pj7o-lr8 zOg$XeO8H+wvW2anDb`;dPX45>aM{2vg*n7aRql=UGf7NEN|J$FSPNIoMQZ*tYb-^q zaUqwz*1PM|z^P)s`7Jjc5tL2A1YM5CRT0LEE$AI zzA|JzoO21QoW#X+kSC~L;Z=@`8m4=lc1YOA2TsIpQ*xwr*0Pf87itt?GLBG^6n218 zNSa4Q-14>PRT5TdN{UyKPbrk6G=WR>j$ahvmkzX1hws(}FV@K=7*BT+XV7Olo@(%7 z6Hy=*RHVJ7@jyjU(#HJP zJpdzxAVWEE&g{*4^ZhKE5B1POk>H%!7xriTga9Gond#w)AVoPbPW6ra;-jp7V;~r~Hos+_^T^x1IpkQOUg#$#hi^GwmHPl#e}nAyLa*oVBt_w>u;lxt2t4Su>OwP`%_f; zp8s3tjkgvLLI)nC2E2b6xbIwFt*5d~+~AYavi#*1sMZI!QWyMudH2m(SGGsXP@e`b zLP?|{*6?eAPfBomwJW{{Z|lTI!qDjg{=#dT_Ch<5$nOM&l_7VI|i@}7dD^LJ^RhP}OJr0L|M$b0d{+`5@fY^bYM z|1lk^tZst#yyhfJ*P_-B$#f`hHfnZmk5C?M?xC)u;pdp$zL`bFQZ~9hhYf*;YIL&o z?iq5@;Gt=>vMucy_U_95j)dO~dGDj)eZ#xzZhpygZw;L4Z%Cxss4>}<7(%Y3GZ$&P%tcZePi+R zL2MT(*_m=(K#=Lwc;rA-!TEgxPU~{fM;EF86$|780Jh)%3jh)(epJ5_hdaJ$A%Auf zaI5uYLd{lZaWt8nM&ti2&CcX$C;=}N=Ks5D&dTK#_`4QVrSxtosKh{-R5Tef;>ktvWQSOEu&Hl}Ph11z z7OxiLR;H{mA$`_p$urivqmaVXX8p>{c|sY+F?kErDm{|kv1M;t@hFVLJ{~igBNa8f zJh{O9_pH{qNFz`%@umgQQIV+1^>#A~*vEZY)}CXpmb_PUGSE3{ZrS1O^g@Iii@fj@ z!nz!SQlnXebA5m_zC_Da`abilS;$|qw6@A|R0PK*;*waW<_YtRH^>&3_Fn%qLo)ZK zrJ9mb0Gl1GNO-`%ZnDIwV!+ELim9LkM~zYfmtMTY@~fO;fD5}OIG8AQ(UUg<1W45q z#u$A#i4tzocvkvN<=&_$YF-#&BhSP;T+QPur<`d*j3mYxNY}`&s2L9MVvK^)zz13a~Mxo<{c zJ2Cnz_GlW&#@qH8E$MDJ=p{L#Wjf`L676~EK%#@DqDt~H2YH@GR(7{fv%>gWuA7H- zW--A>qHJeD_Hx+fP-MgRlW*2I-?7}cPvo@fWR<)%TYli*M{Bl-OQbCV7w<9fy7MsH zK~a||RCp4#zeNa)6hYEZgo%QGnTZb`73mtGDk6`JTLxrxwW_|Ns?a3*seNbCk*nit^!%RG3WEk7tsy_uyyOViMaqT*8LFV4ezGS)fA9o`29%tWh zT>syxn+fLt(`saH$L#LjiJ!u5+7oBYJ#rnl9CIRcLtIcff)*b5ATZ)!bzAGo9<{+4MGU$2fx=~J8B=p`58^mQ#0rtdW~9dwSb8@U zSKrk5Yhe7T`y}p{2OOx5*fw}Ka`*mpbQv1^{eCwcdyUV__whc75AUn>6CBrTM>LK2 zwv2~L4chj6?oVD$ugm%ReBC`X^rYsR=brGUiXqXZdQcq3FFcFyo6gBy(|z8(+&6c9 z++WA^)LZe@JPv&OzFe=z!s~8%c@ew4sxGkEe!m}w#=?Iiy~3{VE;}>G-Rf{$Un+0y zPr)!x@%twGgMjlKM(6Hz8E%a~QC=gba*xAZGc}em>9S6FaX4B|)AJ4S@4_%o_yL2x zEK+H6_!u|16-_#HKyZo8Tv7XhKHGLu`eA~RvK%@bftzfxdU^RD(SP}+%`P{f%;!!k zQW7+S;o0zO-s4=>v}_LJe8!G7ul#8&X=3Bo1hAer&xCCJH27+?Icd~Zd$upJ;!eNj zHGS=IqxW3Qy`OW8c_ERIYTPbrdQOs^4RCgqTLQ7RY@b&Mm0i~^!NtzAZZEeIp2t{b zmSvHFwj*(E`c0@&jzFXQ*HGRsf{K3#wR8_k-X65H73jAXAZ4|mSjT?>ph>GYQ1=IZ z9P)k~di=8(^2}f;(Wen6lIrZLX#SfCIqiLvvv`|-VK;tIZoOH>>D&&@z6qLj1vEiU zloEs})gMNp@A@QxM6LBRxJDBF-UNC*35;4|7&RoY#(Ka@Gk&hO>`kN?PxYaUVrK+N z&Qlm(XdL8I`-2J2WF3+%#6Ich&~9tJ)MkJoDQRZBx2I*HZMbIM>(0li{UaUn=MK%> z=S`2}yllgnJeTZOup5(OdurF1G$%b-=UyJy32yW9!JHoYA$|w2)^0jr9GyEWd+*Ea zfTlq$$7+Y{iVY~D%>4EC9xU8YvE${TZ1LRlkKQ&`U}0#iu>R|{6DB=5pfyP=oIDa= z76)0}b5jnGPkg(gJn-;p-Zad59p_hCu$x6g%igTP~ z6RyUOHfe8~wOs??2_AYB8gwbJ+J#W6;-FH&4FfLYB=4_aLpZ78byoB}``Ps->&ke< zV}ffzE8}Iamg~$voHMMEd@)$scMwk!lygfe+8=TyX`Lhh>xdn`by$B)K#tyRr#*Xg zM-29Sy_+%SpuYx?VnpW^X`mKTwBv*qbCs-X&<_X?{XDFzpT=_xNF$h^dQ)`|)dtAjxZ%Dr z0XCrx(uMNm_!_&;jx66zSDR~hx8ZZ9uOFT{f82d`?1QkMJVI#?uUjUwSg~~la}14f ztd@Z8wwtKsHc!XR9|P{n1~v^?c5q@Bt8W+U|3E-9c_1zcpvdEc{K$PeNxuS6bu-$M zVg+VaAzJ~E%BE3!WtIOBNmDfDzNQIHAuzR#SC7L;aazpKI?sc1{2fQV;GbtuU28j=B&0j~kjKshVY8x}SoVo&|;$igEh;nRv2ovB|DFo+7Yb)iQm z?qw4Ll_1TVtoJv<0}p3_TjK&32Z;cYU_m7ww(^LOWZ=;xc2eXKBayhJD8*#0t@d{w zwZjGHQ4*(>4-iFw^qk7Z)w!eUqG#SMpDLF=(~APf#NX2c2}{`Uofp^J6whX5^Cljq z{{2!Q%E+(jyawQB<8V<1`22W0wPqQiKCaCj_4t znAD39S1DPzEh9s`;NXr}JM}Ib_Ac4KfxURZ6nM-e7J9nG@N@)n(AqE8 zjF^9Ios0tN#%{j{QZR}huPI1}RUiQqP_L(U8*O5Y3q+11kqKj$=~#wW%AmYhSD zJ)jsqAro=&hsgdQ0X|&)6B}b z>jRJdV_8;K)P}l)J_4TrACWU?Xj9MFg_b>Syl=QFnH6P#;A1aLNOdKOLWVEVPv=uZ zdF5$rL>-#b)msv~SYI%0DnXB1lwIiE+>GbBUpNg%%9}h-fpbg=DoAIHQ^aHmJlGLW z$fYgfP)&iawk%Xq#jkd0#Fl8f`M@g5H>H}WMP%O}R;amAc+$8CP<7rIJyR^(!IiT? zigTAgZvxz?T~g)OoG!bLi=qOXy(A!@YISUG%kfPcORmKGdZwwG-e}E9LBA!tFxDLt z3F3DO)awMO*A76h4Uk3)AdL=C3=J?38gv@a=afHS^8-MDd;mXr0Df`+0u%rQC;$jh zeo7z$RKU0h0C5q3qF(@thyXSa0Bj(B*n*+hg7AHzcmc7Vq;j|FLteib(wZi~!IotW zJqLp%5GwncXu%5;U>CMWv|Y2Osd?GzUtrF!WyKhhrrA0j^*Gt}aSf$lO`p}z5Qo9k zM(C^u=6!LZ^q90qnLi})qbgl$&)v7Rk87B7oG*(8pjMo2fLzTT6wfRd;LF&Mc8NGO=2i`J*sZGDv$J{r8O)WbBb)G9xgUfDWc_r+L62Nrz z3?fWQ^!R`OI(9eyu&SH0K5Pg%c$QO9^RbbmpphZv;{K@-IFpf;)-tJ&j3#raF-viy zkahdR7sxFV5?7xJ|IkYeB1s%TNhI|P15OLm#tUoT?(xf>LCIQa)|F_>Vn@aVeD5~P%B4#c;70>}G~`>PdJtS8LDnn+rFCggsmbocueR6d|q zx~UtW2D(X^UpT!X5%pRRIVw{c8i!Nzo><{TC9A(B78>R-)d{22?M>FnvsxD6S95!%L+Zw=k(DjJ{{sDj=K!UyU9v#?uJLuV(X7hP( z1_p+)!W=E?89vz|7o)q&ib|HP|CcaW*hKJZb{f08G|{%uL_ac)l1d z3A!Hb@)uNJ;jn}lIH`#K*dyE=c|D;4X9pMGj#<-hB&!X(j`#ydN`nytqkxN5I~nPe z37#zFrOJz3==5!63D94F@y@3A*fhKbSE_#tj8qjKLY#9I$YgR537^j(+!dPc9?QBJ zq`>9KWEjbo>kLXhp}v05Fowk65}b7y0j5*vo!FEUl*x-V<>jnyP}S8y&q@2M5aE@{ zmM6vST*`(K`i;76#9Bmc?J;d{2rPz874DYXaJ$4q;|WEKIQea23&XwS%i5ZWC`*&06YP(WztsS)%+|2b?={baj0_xjFb>uX?#P4e#;mJ})k1bj1~su#lT!^(%j>)2b zB*4K0b<4>dHxNdeE7_ZktxRC-I_1*aDZ{&I~1}$9I7f3*aaii(u7_P@t&30wb^40T3?pjEmyRPUPQAq1$u7k^_JT) zN3$ch*9CT+4c9PLINRrjp$cuEZeYsU&p0lHOMwM4jn61yxgnN?j}7ca2I@w0!wK|m zLNRw2+7<-2hwx)36Vw_GTc*;?*6IoSYVAjA$A>qJ)x`Dcj^?=zy!kwG7_}kBYBm|9 znbQlE{yeNuFWICXah(}1m?yp2{PlM%_8YHwi~Z6PAsMaKA%3)8?3Q8gu?V(`%LSh8 z(Z@2~ZpR(lYYRL#HgLM6@A!V_-uUK~^Ti9>a|>)_`QP9w&mB02i87UE1t!8oo-Qzi zKl@Jg#o8{L;WWo6>v89ytoO9&cql?<&1k-Au;lsc03wWyiUfCX)oCqZ*o==kn#WL%`=v3P#C{GY3?hiH7<$qxY@)3w4ODG&a3Lj$hJ<2RTfq!aqR-p8al*W&Q35en{EU%Bgz965CfR%Ipbvq z*rp4A6~+d%25aP3QGVKn+Cw%^l#qdEx0>0gU5a)-7DZlu0TUSCL{G)l%X{{$48(Hw zslnp(w7MuJI<0OK=1@*)pp2*(@q_K+Gs6}_N#E-QI@0wGfA?FbrRr*>$F`@ZE}DF6i|FnW9)5h76tU5D7uQcJ zq<$)nh7GJJFh2^Dlk$j!Z-j!dPHFWfj)(iY$h|N6F{`AOg%dG4Cf^Z$3$WFQ94Lg+ zklY~T3^k;DYUaEcI+3G1sqk%<;J4lm%w!&?5gc4xO=Sb^co^{a3()oXPS2Y$6i-y^ zZP^TP@Re1joD*id(n7ROADI7 zsty_JqY42uq^6yG0Yb=2h7y$q;-9WPG} zYWV%N>H7V0CB*aen)(QBo9fiDMV-m4*372%&XfrT+Fk2_L@z1ZfW(&44K_a{3oPe)0t4Vu$%M$M@0Sf0 zl4Bp!*v4UsIjJg&Q4*hso^lG3*ueY~sdB3G=Tn{-ik>^tibWkqD?11Z4QGmG*72>u zC>2c7Q>?)x{vRUy7t^lCEYV}TRqt9e;L7{3U8E@AqLTS{@;O?(NB)9sv~>hav&7O0 zsk&jNQM7deOEbsPO0nAii2urTEX9{(dy(;i;5ez$oFL52rLNvGf|%>jKj& zVTld0#Dm4LCMsbHUy@P`x}Sh%zf(HS6!nz2dglC7quC|LBQ`b$sMPPmGVr!?J6dn_KYVj7(pNjdQ@R;?TLRe{NzSj{JDjrIa`wg0L z&^(jb@sjx8OF(AHN$z+l0v#tJvF9fCz9xZ8k&)Q(Qv6Tq!v_+_xiy{CPJ$1<+mA`9 zVnaLq;DThnlHmuSJgu{<#gL}Okr$a#^5b7-=m_XT_G|a$>7BNO^Eey>Aq&>_nY1?e zRijbjQ6|gjCd=ltH0r>nI<)PmNsiglj&E6cKBRGbqgA5m#Sd-u+44pKGeH#d-Sfn$ z7EChQlD=n1B}KIMif2esP>nI!AJQN_ zq}yHuv73-Kp~!(ld6C&P%0?NiH6){Lx_|o%)wDI7Y}VkRc~ov}lS2Sr?t_7luCxwvRP zVSEv~6;!k8V-}5XW49y)!>j@4Dml@AKnu5}vP=YQ?JKyW$7qLMb(&r^RgQY>Kf&@( znrA>uo0l^DY1}xi;=EVCT1PKfllP{i7s=J1#0HoBA!~@>ru0hK(cQ4}e7lm;ILURx zIt5&CB>Nt4hBHsbulc0WuMx7Sgz6YL&zV0H;OE%}SVnNDWKT%##|oe^ZyqVD;XGH2 zpS;6qp7cBm>J6R42r65oOxh$u#^T+Pf3~L|qrRimbX$WJ0wzL*egVE>oTEdsEkg`D`&>ha+U; zZm3imSVf`5kb1;q8IoOVb!-O)kFg0&0$w@0H62q zbkS&iNm8;A;xgfBXzpxq?cfbA4I5fAP4TRFX;ba^1RQZ4^8#M^Z?fPK7#{gAAD<94 zwE^(JC)y{$7{KA#m{5B9<%CAEYkm`3=F z-Qo4{+}!@IlibBFUCywJc!jC6r@?PmFi=(URAld`oASjWLumecgIfZCXE!c?tj%I8 zRK>+VBG7}Kv#8fjw?y$)L&%1@r>++Xtq`&07e*|t7ddb_{ zz1RNcj5`P5rcO8ZN8|bo`p|+^z60>OXd<9sK{Xv6$;F+^71T;);O{QfKABWh1>_6e5!rFyMCG6HdKAvE7$Iua01#iuCTR6d z$L@Y(mYx3l4~LA{{GAz;$(;YT>G`^SxxD>)&dj|$db%IT z-S+W(ar%DP*5$k8zI+1ijDmIR{C>V-xJ)V0A9X3a8qv_iJYP5T=HPqUf11(q#du5g z{T}bx;@$A=a9@Gven(%My!8Eg`LO%0X}C#*^BsIpZW%hjiGC?p&_x~Su3t@?sP7u8 z?TWc{#_cwIxh3_Q-|c~$b~F@v?Tv z@}0BQX6w?Mr(*2_C^LD~>=Xfz^o z2_;STpQxZbzI1ls z%+gJvdl9l95+%3crmc(ztEQAZ-aA3pzV87P!*s=75@QKX-`fW04=ca&#_mac5r~~z zcZ-T~-TN{TO}Fv_7DZ;I+j@(Nz3qRCiJ{we8;!LMi?Qu@8;!kPcMBJF-Ro8@hunlK zkETk2edjT&ZfbO-X2c_| z8ZNbf(#YsZlXOhI!^vda=IMzD-KxgCwgPbT`W(oIfAf0(0)O_ie2nnY)&acUw9+j+ z1Mt*1*u^V3J#`Mmsj+l=_$9Mzam(bL( zKRtq?{%Gv~(%0-yfL>Y627Prk1MpeRzjjxaJz@N@ll1@NI}g}j{H!_WqB|?#H}*@g zTGSa^`;b}A!03@6yi@J|ks?6&rklM(zx-nxY;Ft`uIoUj+SAb^)%m6>vWWNqM~ca; zJiy7)AJwPX*G)GTeY(h*TA<)rw~T5*bP{;~AI9A|y0WKR|BY>@W81db$&PK?wr!i8 zPSUY$+fK)}t=oOh`+eVY?>%?i-x!}!HJ|y+RkimYwbrOwdpA)xBUD!O%EC9n46*xE zxcOKE_r}b79IBLV`b=;Vn0DB1w_*GV4gne}ZD1!ISlP^YM{|Krznq~_@*V=6EOvP6 zg*nNb_YW)V5F8B7Z))5#%|_;P(K_YS>|Yr;KX|U)(=Q$3VMUfR!H@W}p?~e->G>DXT$-ttC=v%}@G!Hg3s$yZ zYTm#Y`^SQ1xcru=gw?Bde`t~^(E_BZWQ)!Wx?M4^Jj z+C%F_`?J^tdsi7%*kw|R9AV@?E0z!uP9eLc2b)wp2UNbtg(gY&ACanEAv zr_{Pnt8nn$(;N2Bb+dUM8@nvsxtQe~)dOVpavr=lG=>MM`Ekl9jAHTJ$J7qf zWAuLUNc`SYjpeJ<%%lh6+kf5O7W0B~&1AI}Xrxyn+uvXTJwuo!bZwtZ%n|4pIneCx*#pyWo zTR$5is&e~pmSKc3)TRT<%^(*kDCMc(0I@MZR|tgwBi5ULBEx? z7?1@Guiy;YGP_pDa3gqPw`=#~Wg$xW5}rO|mTkVIZ@J!r;NZ}6EH}f&m4CBcvDZ+q z&`%G%LhI__y95)0h^vJhtm5IPe3Y)m#P<5Rg7dN9avo!th8fT0%GK(9x=UvO=<6`dnVut?+0Qe zJ}>1)$U*yj)I`jAFx$pKfKKkOanP2IQ~ImEy4k345jT%wdbycB&lY{hWS&|qZd37> zH8?oDdBdLQB9Y;H;nhKt<7XB+#wC~I5MjHSGj1Msm`;)Evl)w@MzHsuss%WUZ>jmq zF2}578A8?LmK)~M%wf9A1@pa0BXCJ^4~X2kvhRKG-n zag20%Do35qNmpN)ZX$<}%~5e_L`oxzkIHJhru@XLUB~ak=XjLH9<>k~>r9`PD-I6f zopL(SMt*Q+-tD%yBtH?b^)yX|GX4|d0Hp_@_8wUE{F2|pH>-PESL=KswsgQi ztwfY<7IM8l&w?^^J7HQD%%U@))yX1YcZS}&GOCPbrlD@DPaw%kv|N&5IVZyr7OY)w zl6mYHf{R9!W>?^s&njWdn``lEf=c3gHZOZ;)w?WCejPD(;8`#}IY`LTIy1k;ZAtK| z@K#VuC|u943=jx0-4JeW59|ZkZjUHGg*^jiCkpPehs~D3(Ifl*ZJ~GQUcG0f zN~!YFxGYpU;3rEDU{QVt@-U0vjN3_(OZfzXADs&V(0q0Btzj;*#gOjs6@|Da%d%E_ zI>y)oxl1uZLFfDYD@Mfm>nM5JlcZ{y8N068#uSJKeIj)VbV_de$ZKp1wc9auB|J@6 z<#Nw(h%nt2? z&h5#x6cIl>kDiSNk(uipf1+ovJB{O+60UuVPfo9(@NHYo4a|@6q6Z(Vd*!0ooKuSr zAA{H&%UuLD@Pkk!h_0SCB{$EK$d37T!P7#$c$~Vm6XgUq&rwC4`*nwa4P|V%HeHoo zg&E?bFTkQriCTKaT28ekM@oiHYKFx1>OOxVeKpUb*Q;08k(L(A$}jg*cQH!gJeCbx z>JILU0979w;ck~IrOBbK{tM6Y?lCLoKC70;e*Bb|bxU6?fnK_5U(DUy?y^JX7SsWo ziLHWN_VD$LZj;{#4v=?lst%9?Ie%5g4*kT2RIm=#=~#AUVHKQEhZ_vz zTWNX%9bSI#s+)FkYhT-w?TFp9-Cfb&p8I@x4YVG=^nT`-=%uqBT#lm2d1+mmTDS77 zIC5Bx=a!^ya7+BYwD~yt*uzhjn;zYu$3yyQA!-3P%N#d?5N`b~0iNhvx8F5*0s+zs zD=~q{!Xfe?u!0OpB$KEMz5+kt*nIdcRv~j-DK1iqg{TRfLau}|V)!X*A$KU{A#x*V zA$v?|4AHHJ=!bu)9LcFsxQzaY*&N`mB4@~a9c}G%Y8}KOj;R@XA}9A3&!m&vHQ&ht^fHQ#o zi-3n^gHCH{qGpri04M^tMl(*uxU$baF!0+W?=Xtr?mrHu{%a_4! zD;MYUFfL5s^W(aueKkS==Vo$x>;0Lp)B>1oC%+h%l0HolYWySQso(4{*!JO8^Y_6Sgmz#sgH^<2r$G()a-7$2xgG{G`V2b9!B%I4p zx|308nJ5yD-wErZ30Cl^=feUkwom;V*L&9|K|X``>_l#U3s;csy*CiCZuZ{(d$63oV8e3T&)7KC`9A0k)q#&HGhHxiYC><+=6c{4 zuw{@72&K649Ql@llm4(@ZCkwV9*pMCl)lm5lo1X3cg#p_IpGAr5yHOu68ee8TK&AA zr-gJ8zFTm|0dL?XX+sJtrd&9ULeHXB<3y2c(x3G`12*NJd*pX zYxcT#N4ERHrNXo)#tGk_%UO}b2%f_FsKy7e0HLWa%ncPu1tvM9!HqkZ z({x_9g%k-Ga*0T>`@RrO5eC?aA~N2-Y8tL;(Dmic8!Br?YHa7Qcpe&d`Zx?7=gGfg z-e0-9^U$=ib9J*37^aef$uN$3XaQQ7B`)gONr%+H>0_vUgL8*%nt}GTm#(hxxg5@< zo$(XV1wL#IPuIejF)2v+ILD?SB!buo8l{qwljzv0Yq!PG2>Rg|Q7h`5S%lVvd+Uout$%H7G!;u9xkaymjx zYu&Qa#&>SY+UqQ?JaJv0Ru`cNvNMEV)M3^p2q%h1>F9Fr9I(;CT0OTIJ^eUR!yL-g z3|jOIjmExjIszNN-{0q_wtP!cw*ck@4^k}4$R)Xsb+U0sGEbIxxlZBw&X?D?JfH3T zPO%AsA52$mSZ6vEMxAHI6>d4v4^>IEn-5G&Z}dZ+Tche%JlJPGf6Oc6il>g+QwFN| zYtON}Ubm?2+w~YbserQAQPoG(FwWjK`#_gnu(JW^r3lmtR0?$hKn;Diehz0Zx5Gb1 z7-|J9g*pYG2Jny3NlXFs5ZD@m+A#&K9H7?((+xHNy%vJnfJ$Lb0hj?~=jU(=z1au4 z?toqVF(Upk__OnMIE8o|0$q3iW!$545$Sz)cviHJK}X!;J=n@Lr5Py_0^;ej3IB}o zXD;Vmu#*AkxiHisSPDrBKs?|d<9-M1qz`&70<{SBAESB%`lXqRnl3xuy+9BTHU1)7 zurX`E$&Sr=C%6EW0xpNs;CKL9gaUx|YTq{#f*K0QW^~^Dx780Vf(gLlcIcal_{ZA$ z^ZCAfH5x-ui>9C#3Mf_qe4`5oxDGSJZ%3*1hf}$!w0+XCFuu^Xt^ETlClOJ7kIv~= zpT7EWOrYfttxTvEbS`}|O(dpsjziXLA2W`r*q`RiMo2{PiJa)X9Nv5^Y$H=+uzI{L zHt>+ml{?!_vw&V4{!XX02ynldj<#Wwsw;<6s3Kbl!p3pT4Z1D+p@F&8(sPVyX2qus z)or{a2aBOL*0X~-py?~R+$|j5UmTnwcJ`rDJpGVC35r$(eLCZxGo#oMIY$v6XM?|(W*d1c`A#euwqN58qPML~YAeHby3&R!|T zomVpR$Co(#u7n81{W+5nt9{&HYW+I%TQe9Gojee~A}6xZ+t>^~cG7&xDb@7HPZybn zhS-SEC8mcNiW?ZnFH+Hi74q>oojT=wdJXFDX|yTr1zg5;znd@2ymplr9McO>Ej37gQf&mJX0Ab2}Ab8qe zoFJfvnKKf2>(*``8(&OGjH}`Ut8iKFkl5n9Is?QKj?R*)8<4cK2ETqX z`VUs41~~B{uyK4|{RPu#{$(ZRH<_*vln zcpwd|coT4qi-;4K_zW^^xDf|%E>|E86v7-}u1dlZ*Z9S~8j1KJ|7^8*C&>6jpkK)p zTgSzl^D}3Y+lpRcM%ff&2?(4eU^0=;#zr}wMqSa|sGo_uc&p(hYn}AqN@t8zrwK7$ zW$-DAjHs$5BNFV7zaF0@du7~ZBYlnc2rP*5=hMVTD*?#y)^Maokm=A_N^qn&;G+v7 z84)o6hj?pJQYXapKVfaWEp3qqVmkH{p!c_=}7!IwmdXEeWq)oQXE!tvz^zLeuk0*38pD~_>jYymy5 zj>c@0=G6N0CHqvLI6bubVFvNly=DLNo9_&d&vfu!&#Iez-h0RRT@a3lTNEu}45{n= z9IiQO>9=>BZqAA5XsYrWy%FfyQbHz2rRTUQjU?qbf@~SCoL+T}Yq{3*trS}PS2)#( zEgL7%PF*>idCv%j1qS2w9gKT?0=^bMS^Ytrd^-YA8SOz3__unGAfMlX^7Q0E(Pn^1 zI0KNg{0-yO&QG>aZ>-d?^ssW($Xd{PQsiq&F#jZ}fiQc)=y##z-@li^zV`d)3&26W z&Nt=@P(i&W1^%nUvXFW;3eI1aFSyV!_rh4q4QVp_Pk1Ex7L0rYohj9dlK6h5tT|^c zRYDe&LR+yt0t2Yn&aO(-+--UO6x*{Y4U9-zv6Hg{u{)~<29Kr#k3vWP18hDTY<}{g z=}&A`i(KW*i{!@nJ73a;0P+~_FWoOY}op?Y} z6tqQS-A9X;0f$rg7o={$qdGlMDu1Z)R;f`Jso56#i@ipTw$@+twQG7L1f`K^vTfpXLJpSeAsXdXwy%_D~CF3OnQ8^;)O4ruK z*>xGh&c96zU072g3QNmFl^L;>{zbDQqnaXPngSz-0`02&f57_-|AtkKyGNB< zMwNR-8!?p4s*a?-`s}$L*n7L_Kxtm$IqZqmAIsO~=4p-VH=L+2;=idGk7IZ*~ zVSs8JXaxkM`UZSW8;*V>dygn-4T`Bg*+l-CrO1)rmLFIp2(A+V(-w>7j7N2x37BEa z$+zO3r3sQ%3o6^1B(29DuFp70o|Mtg`$_#mjdeyXxfE%lwpNayVglQrU1Bgb&v;~^ z9&MOj&9=7u?ZPT%N-QR}H2QH)+{@xeXTxj=*x$Bm$z0FM`e38ieQNeKGUx#v5>)&T z5`S7)XatD#*n?LD@+-iw5kP_ypw^ZR*vtWJb}lcg2)azJ1_soF2LYXV059EvR7``b z+QC+AVyJi0)mTdCA4K(xISHk$2hul#>rg+&NXV6m#X=oR(OcPh(Hmx5Q z6yBQF0PUORDo#)-=HTtDO_fb!RbAU-hQ@1)RUejj@K!(JNoH$RQ&SrYEuuwG#fiAj zN9PQyJ@;gN_)>Aj3++N_V_3?THx`zSP)KgrvT5`BRj%58RS%Pj??#u?hG~~${>wYm zOjTQ6)Uqu*Q>ac6?COwCA1Wgb=BuIA-Fkxo)%) z3~?`0n|*ZZVPkrbqTuFtfY73-w{1W5Ld)SATu&rd(#?eZuS4S*qRgem@CW_kO?lY~MAlVzL;D(&hoP zKZK!>%$V++itnmBDGl0Tb3y7+E2-q3IpUVwf_b>vII9Y>E{VPvl#W6;Z$*Hc={>6g z?bp0(IPS?i>zU_v{~G%wTrJo`nI5! zTV8#?_apI=v@S9m=)KnACX%vRa5i;AfNyyl zZ0`6AxUpaY>wi76VPrP>`|e!m?~zT&)f-K4_PLUzYJ%)5IaL}Lv^rf)SPvFwQ{6Rj z6Q~&1dG}UEf+?xO{JgPGcB#RDQRm6!@M#tC7Rk%Qn;v3bmtR+V_uI$r=hMT-hTqbY z@5R#Yvc<*6+tXJI=lj#;G{F<%x}Nq+l$)L&(ARs%)Y-%G!|&?xGrcd@CmT27=0}6I z_md|1Jky>vkR`Qk%&)Iqzb&6`uNHSVzo(D+=JqJRO`q4>@scpP&C2oD-IAm3Pfh;M z`_J7CKR@pe-=~8W@XmGZUOTrZ%Eg$;-B? zr|X0OO6z;qD5w2va#|oxTA;W*bi@M6`(dWH3~7DtZgv;vVX+)zOfVvQXZu*}FTSsc zvT9IYiZ={+@9hQeu={#-csx|*JZ5(7j0sKiWfu)3m~lR?4L@?Z#&(R4Xd`<|Car@qk6-{nf9rO>}Dw6W(${g_R+_z`q@wsN+SC$w1MYGcfhtlu@_NV zcZm=y$ZokMc@S5}`X5=>v+0Aw%AyX(d$Ko&MGAQ1-Jw)4sagVFYNNuo2@$2>uTm+_ z_o2g7jwql1B;!QnxJVmSOJAvo;3kxOc)>>cgWXGCVTB+LGx_nsZsS4SizO$q%i8#k zTX-8^E@${3Q7T;CE?L*tv|hP*^%ZbcUA)5g9JMLJ5@OaSTnXfo-)-@lkI=x+e^kh; zToA>KSLB>ijQF0IeL;VN|M>LjFQ_Y~e(GnXCv!^_z#jE06#C&Y!&G?v=kZDu_x%tL z4&O+0tg`Sq+wiw(--^@=NW9$z#euwK5)#!yZid zM(0C>Ky|~Q)GKSN+V;Yx`)>4(pE)nHHJM7Y^Zk_Z!XwmoS;1> zrhpCS*ET=D-~GhbTP%ZSw|8>jo6A5F8bUKye~gtm6cP{uQu|}|3l{QnbewES)Qtj4 zK1oM030$cYHlD#<(D4ZP3{mw-Ja7ud50NF16DWTKAK5SjN)l|mwihAi`{Jv$a3-xe zj`YmBz~b=gEMkOG2@R!zVOlf0NJ;#K`^;EY`pTS|hU|S38($=Xh~VM-%s2~e&HCHg z4+D=)SVGcna?-f())>O;t z5`b}0^IzYM1?ZR6EpFm|820c;MjGp>jEcy38?5@~IhGi*rS+gE}x5Fol}3?MLhhnTSRNdayjYaHr0_!5Yq_0a1?CiIe%>iDoI%#o_8 zjl-~d2`S>zLO7+0zA($EUBhdm9P4~MeuH7 zZIdRwk}A8LBY~=^t9Hvd7OwXXjP|04-U9xsh;~fsH$FMwksXy80;i1GHT-*|Vqz0y z0ckHQUbv#)mvBr3zlYcHaV9RN zJ{;T4JzKfDdman2ItNM*jDoP;( z^|n8ad{-?ZnfmR|$%8{9tv(x>a+v#Z>e(}I)*M1t$Be9MI4FItKM1dXU|pLCMf1y$ zEatoF9lw99HQN^%M^vZxy=4ZqVX*_lZ+64qFX1=A>DtIi!v~KThE-G8OvjB{)P`d% z#tY&T*d*dmOq+{?Q8;M{nx(D$W)u0#)qYCLFAf&JVlr98WknZ)Gcy_KIdbe_ok>ej zw;bndY%vT==zZ2ZHE8ONH6%g}&+~B)&QMx5em1s!L*Y?7ior`R_11xDerr@!6EQqG z?WpaqQ_(?b=4n=4{-a<2XcSc)%x15ae``lo9o**jR#oGds@!b0FFKC#RM6D=Q<&C` zbT{1l8!T2TcC8vs!3Ejqr<$!Bs7=IC&My13 zLkQy&e^|xf`98V4aMa#<{4v$KDNb#dVu%% z!JT!}_inG}Vckv#zq@KVi@QRO%%&fEx?3+A?9ap0hLbl zEf1%Z%ll}mU(s);FyZH5DdniG<3tZ(hLch|uFedVW~RBwx}M+H<8*uX=jWcmx@dv7 zWIMa(3u33uJxco>=z?C0IgGg_$=jG@?}X#mH)uPk(P5}jP^g=^rm>kjB|205!eQQX z(cc`RwA8Ke0HFY)BE?}*`VXe|sjbTGeU_TiCh!h*v$?%^byHbneidOvexwx%qIelcv zv2ks+`!%lTHNSq-CYxx<$)jadH zAdINpP)}VW2T!XfGqV?%b|v4v$pxq8+xZG5P`S0h_V4bvG5-8P1iHtKCE*4mGN1|| z+LZ(OUN)<`D^}xOk2pHliijgV*E%Eg^Rc4YE}R58SL?H=+vD^yqN+zeoko!;>~A6G z_yWN8_OABft`+`wO}6#U&a;MsOJE#gR|joFm~Tr6`aD}uO$xI5IP0YPu-bt!uGWA@ zy7PvWS_hC7>tS;LoE}#{Y-=Y>n3W=U>+52K^B9uHnASvWZZ4jMDksu$`Q-!M`1W&* zo!Aa~Gah{S8A%M8X)rhl928CmSD^3gFd0DX^}Q)T%RGp^y!t2cHyYD^NB5M~dfr4P`($7h06z zc$pV7hM^d5uE=Emd=Q|k`HcQT?hLX1d_ZPk5Z2ShDH!KqHTek?GecY;wA+!q$l&K0 znlS4%bUpA}fx_Ow&jZ&W)p~_KZ;#et7%Z&c9<%)Dk3oT9fhBl2&HU+Ht$~#>5o`R* z6M2AW&8HSbV?Bx1^fpZROk^0zSwy?x!;l}%*=~D#4yIL#M zo1zXPfHRANF^kqAVwnNuL>;UU3Pbf+3Oo{$w)md=3i{QF^y0>ivQiT~{nZxhD=$R6O#|FU zZa;}C&pePJ@g!>(0J>B~t=@1LqF66BUh|zlBpf**8Vl5`6UfV_oTjB*jy7M8w#S=j zpqH>Ggiz27BcBC!CS!2(Cx%y=W<++WC_tH*f;s^;Wh6p+UliQ25QIx1AiGo$piD?X z9gm7W5&`pHX<;sfg6vXxfHEZobuudYScGL7>LNWwna+Q%m)#ca(YF3*$8580@YARr~k#;i%6eLzmUfh zg)|o|Vj4__nAX3T8Vpvm6=`uc0a{)#kVdeERuGRKHGURMA6@^#QXRpy^uxCP!<5dZ z-B|0^bzO0ul(djDQEa3m5nD$3FzOdl4T?SKw&;L?;~~_c&5LLtX%dUstv(u3F7|Kc z*1b(D-+64%djAE4#%cG+Bs|OR<^6McyRb++OS^32Kjg)xM*4tEb->aiVQLfVHZWR) zILBE?hLh=phcufo$?tN49MRb;0B(@-2z{BSy(1r0gp7!DDI|LE{Xy09lUi~Qhf9Oq z{X^0%25q&KnZJZa-yzBF2$E$;%{8KsDO2wH1EjsjVLDnYpLW@y+%;rCaxcMo@tA;CU9C1FePL0`n(s;2 zx_ATq^g36cD3ka=0slp<7tp{ZFw*Y-G28#}KjZ&NJEY+GzsLP=LH2(PIKDpyZFseA zQzjpfIlo(@0TdvO#z_?)K9j(IW&Z^MJ{~bW`pH4JJ`ApOzVCCs|8vFS z0P&Ilnf!Sr34XjF{-7Wpo!R`$*z&8+?rZL4jlWG0NBxapO_6RRwj_2bd(CHl#eC?4 ze#4;u`|`^%-cNjM7U0ZD=8GNZwzK#zf^sMba!GO8S z@>ea6O7BrB!Ky$(&M9hn{c8BtmoFkUp8BQ8q!W+4Sa2+De=*0lcK){0%4R^xLHBa^ z$Lm2H!&4r>FQ#NUkHGh9Z#XRPvfA&<@oW5L{G)pcgEwcgE~o!s>&VIH?vSD6YVeDl z+~x!4MxgU^eta46$uC>iuhsAQChcuLPT=ck;{bK4L~U7(V1mEP)5-JWN>4!G_t)JL zqQ?vFvfj%;8S!K+Z-G}o4IDDZ5e^DJ+JBL}I~BF5yh*j=Ys{RM%;3s(8^))qVd z#*+zM!`@jFl*D@car-;nnf|)+tangG?&rwU@|!=-DXAOS^RslX{|boZHpfrI{G&+QHVJ=_!Tw+{U{#1mPmyR}B zWKa*iB*x3__)5s1$B<$PI$azvb?rFpj)DQ!sIw#L9hTD#}y7G0~h`osPnez=Sz4Zo znrxp7gHvbt|ELhmgM|{R1PLL3!MG~IBR@_B<6OB2?hgmp7Ar~wLnd%=O!_3FaWlZ= zlu$a)=vecmmtl9E!eOD33>6`Df|a3b09oZX{tN;XiL%z?-ZhClI?X8A3Co6|Wu+&} z?&SoGV>41|M6Hb*Dvp6ADdK8|^zAFnRZ7nn9OrPpRgIf=it1&zc#^0R^tGbPDxCq*6XqDNhg%@s9(kqhBah3gx9rF^(Y6Bb%_QP8 z8)GqBbH@>_15pnZ>p-j-;;zB%=|g{mJZ_VE)2!Z3mCJU6&~1>w&XxC1RYwqP5jwJw z_eEoJT?v6Zafjf}CBwUligi;M?$!d5pPm#Ii#ms-8Qn<%y4oNGuC%8*5YSLkP!SL~bslrcu5lC~VuKsQ+P-yKC$D%RLB)qM8IuUaj%V@UWaT=a@7Ff$OuF0uxn zhCGbIDJ{n|jn9);#b{8(4Hyb!hJAvwpk*#)Q^kq5laAU12J4{qjsZJ3L2CH0sO_{f zJT$k5aS=60wke2m3j(g~i69%SO9pyr8X$LT0B#kgrj81#9BicO2l7K?o2Qsgr}VN3 zbZfIzCyE#CDMETL9%BuUzWx(1vDQpPtB!YEPdFKfGS-eJfE%)XDb#`{a{Lud1jJrF z!=KJD4PFXjNN_JkuewQ)1|93ZY!(bMM`&&Yu7=zWXBsTp3i1o5|p>7qnEypY;ZQ(E}s$ASken#lynbaIBI8fdSE8#A-KS#~mc;%R-8W7=lyY zS(S{)94W@EV^@ zxF9MYv`I8D;US6q(#0puIJK5X)6UlX&|kUQ&5(3wBvFN%MZz_AbObC0KT_6^X~ZE2 zacZCOf|==&>+ANt^-QqD!ARq=Li6Lk0b= zZnG8I@TaZG7i;zh%ee4|w1z?)=s52g^#Uci|Vfg=-lgS7L26?jaTBkghO_0?9gb;c-z|Z3^nly=A@jAI zeP@L^N0GUHA(IH(8er_dON-1SBdt3Up6Ehm;E6_+#nn0UL7gIaUX|OvY7fsk$0Lo^ zy`U&@)6lLQ^#tm4!zJKW766r@z>0!Ul2~j1JZ?gS-#UK<+ar#uJF!T?>MC;zhX%?v zF|0pn?$*5rqCOiMH{SbM;JX`19;-An03Gd>WJ+~8`G5eXg$(6M4wpR*bSvmd+;)cU z$&7P#J@aJ1y9$)W$Lte$JvH-8SI!j;jT5mU4Su1b(CkMTR3+EmP!?k604_hwHDAC} z<4=WTK+=~0m6WYlE{aw74Q;7p@Zh)*8IHXYEEGAQIuv-fId`=aS_}@ipiX@UH(rJkkukNJo>g$UGHPk8%!we&g1va z%hyh=uO*p$oC4$>fFMa#iMmvJta~D?B>S-lwcc1P_<9~bphS&ePV4RYdDyAu!&K(= z9j(mI^SK763~{#N6s;2Bkb;!418Rag^_vVsRPK^kUZ4>IeDK?SD}C*)UdYtYZWJs4bx7Heh@|(1rmB^9XpEHx@>>Q6Pb~Nux-<0Si0*r9k8^YLaA2 z2y+zpnc7v}zFCn8Hwg1>xEZKbUR_aPA?I-4sYB!fJi|LCK_q?u+(DpLe_%&oP`V%p zO$hh~xV5j67Z1!GJAb`Fpt8Yx45@i7QrRmrMjzcO*{n1#W6}~?oB$vQcY=&2%X#$_ z^4SPn+)Gzu$LJ)@X@C3K@!~3ya^BHG=mjm*eCr7R6kZU;TPT>uvAat}7S4QAtWs^$ zd6Oun?Q9dM4kt>24DY$|>R@Hb!oV$@G_2m>21zI_l6;OR|95(jsxwZz=V79%GpLa) z;$xF&e{uS$A9#GsnM}w7!{Idv7QX;ptthm@;FRG@P-?75RsQO=;Eel?@fJ7W+lZQh zsAMstXE|&z9OJXCn_;0)W{f2Eg6yzc?dxyJCWleL@lyN%;hVRQX*KouPI!XqYQ%y- z`YQneS4JIO@ASDIWhmaC;-TUkc#66Ao4>$T+i48Bjp>n zDg8PpSKN;ZXXP=R)|Sw(B4J!cPCtX{i-(f&$rg8XhVxE=ex?SE{tkha23L!cVL(5q-p#7t>zBCkK@`HNzlEgHGy zJ!+m83&U@)T~B14D(9E@s|c*c4Q=UQeNDcmSx}@uW)E3EdC4+^z7*U>@)|4UJqAkaL*zcB#tyFkL^nzVK1B&Mn z5=A@foFjsG8V82EN4~{_w?>_|(PqRalf;8>eLrkQW7MrmoOMRH#YZ+qzMZA*dm!o7 zF1O0vN{x&2!~0du2a{dxM2xTJlg>mDNQm2f1$@)4dg}T~ke1^7c42fLmFA}BxAH?Y z*>zugnJlNTRbcJQ{pA2t%Ym=A|7;Gia(u5{M=PnRY7`B_ejIWcEZ@fyOIHpy4CDT6 zeGuIz8~)Qp$}KFYyNh>O;Icb=^W(aIYb$3i3p4e6{zWX^>kSyeXY9v%<_(vP)8<;i zv7Z;z;-OnlSTfn)P4<(~Z4#B!O_z1h)mShi92 zF76)b5f%m4Fy|b)cL2`cUS zKJSX0FNwMFXd94kW~_9z)NFymxk(q<@AH&oOjAI{wL1~tw-}MIRz*4KH?m_Vd1}DM zH=75eeVSvT|}s+vZL6!jUaf=Lc}S;f3) zic4l`9pEL!CA1y~rPX+#P zt9d+Yu%vd#g}EP$h_@|m1POmjP8%xjl7cx{;t3VI@5ft22nl%r33=duC=U34Wdcdy zT`2?br1is4RtmseGt*_^dS)ZHOVjOH#1qI!O& zWY>)-&zjKQm0(?}KwAo&sWR+q66~5NI4UUYWun%~5i6xAwF+d0KcxG`Q-Witk#SUr z|1suj6vzyINDqjog#XLuvCDH{9)|vkt&a>Z`Gu zO}{!z8ZN1z%TA?%thIQVBi||^@S2OnU8NqGVhqy&S3s!0SkDu4b?aXsBXhPobqwB|@OmM~rmF_8#RN2BpXC)56I|DOm%4@D8@5s_6&#EPUH-kIs`UnOB- zzl_Abx0bO$!cPP9L+I7jg>iop9ZQxm(3fq@y(SjCsySGJB}dNGMPhNIK~Wdr?>pm$ zfef>W6h9y8{d$UV*XPk(Z^00VzZC}v!{-Fb$J3NQz)>sMoY>k}7}~_N@fNzmdfGI` zI-OU==*@bSTXhsaH70~dhAJx07HiFlBc+nS^B0bRqitw82%f_X*I#_FRYoZ2ugY>2 zBMK_a*K8CUocQ#Fm!NOWGsQ&kZQsZ8k*}@8v2`yqH>je|vl=tHZ4~dI^kge7R?g$y zG<=8Fh#7GaTXqqHS=R9Nav6YA+~uZo%(-ft`mU`uohpzZEY8{%2$ijF33o36muL3? zjoMpxc9#eXtSZ-qu5g{j!4t8+Z>;5H!i8NHJFQULTmHQ5@_q_3X;)R44c^}G6bz;V z=J8@ty)K*TTPZB8s(xb7vB2EC4NziKa_zsOVSk0h79I!#eZRt9F4&K%D zE`;V4GDxy@QiulD-fxl&mzEXsxLHVPfhT{{dG(8`{zz_E-O;D93s@uGdAP6VyZd=yGY;_gUmJC9*a^0Og=&Yh5T7kS2&*rCy~_X}DO z71bdo@tw=EHyy{8;)EOVo$RtViGT|@+@P<51Y@(#n+?bt`k*gK-ImJ*0p7Z3cDNXm zUep9b+aado9owKcTE>e#DoTo7XM0&9a9iybp799e1U{9B`PK1gBUZpQQT-L z3T6Ji7&EC6;wTg}n0y=Yj(dv$AwC&%?DVsO=b!Rg$o(6YV<_O{Sji!y^BZ?>F%Ds% zp9G0JSYDj?db`m`f+wNItV8%Q!^`i)VDg?s*wM9+dp9PPP{2jK88U)#gL_tdocw3s z$HI(3JSp+@I3xaC5^_wcySXvlU6pz!#xq)3kh{P6lF2&RspQBq+j+Nmto*bd9;GXx zT}LAwG(nSfa;bw%h^)YrOE0Y6m?d?kgG`AWu*jeSX0&Rc7JL~sgM#c?bwb=BAAAzU zh=N@FN)|;KOl++eoDyD>H6LY8oGl-G7G;luT=mDP5k?l%6D2}c_(~MRDuCL;+-W7I zwtPKJs}O=^OZI{PDJa6laPmz)vJF^22&J^t70TK82iB^{1VjYFO4Y)wv*a&$&=rW} zd!?OM{u*SQaD=$o{}-!n z0Tju)b#3B}ySp^*E{(gpyEg9b?hcL9xHj(Y4vo7zg)~yQGn{+AbMG(TKQj?C5pP81 z+Rs`$cU5IZRJ~E6;T3;@hyjqH{DfnwJ2c=NieJ zBSSGa;tW1HTaCHg30qn}{ujeI)O3w+qCCHg=|(_P3quYU5fi7JsK*^a4ylAZ`Budx z+I}2x2|E2q_$x2P0LhIQfKN_b;}#JU*ygh59I&t5@3^U3B6yUmIt()01hJomr3RI; z=dSVS04jKwQ%|gHyHy#a`k~Kcmlts3=-T9ZTYk63w1Nv2W8G|maDzYKV2wS8z*-lE zfj@AAmS!Lh_N!u;C?fz@R|x6&xpBz+TixYbqz#X5Z#`=~jL`(iN{CCoC;Xz0^z zR&G{lG|K$j9^uAiKyXMK3V&j}$}DCb40KStL{raZ{RzDAA$;Y6$Yb+E8Awd@+E6e6q9!&RBqmy|85m1?K%J~138>CjVsIGjXb4P{ zTJrwKj9&0*$|!O$IOr8HqgVWG(D{NdFm&_UgfY2Y->!aySrFFBT01iN>0fMpk&MY2 z&g+|A_TS7pI?!2^Wn#~@OqP{PJQr2D@$;#-e7&azPT$8E9j^bXdVuAKUyZo_Gc1*P zwso$%Jj_XaAA9fEVq!^Iw2^MFc!4*Czcb8<{Rnl~&CIsF!n`dAw(U`E@3MnOe;@0} zww=zrT?Wxj-P+9@Xdkn|iG7|GR-LoD{Rln6|2XXEYXZJ4SsiL+j{?R9K>v*xetjvok~?*EKOwuYZ3-CZ>;nc^IrdJnJ2Gzbohosu z^iDX-VR2BPc1I$e<=fB?KO!^)1Q-Ru(*y>ibGnnj98q?C)2jJ;PT;w;>LDzQ0{=%A zvtJdHDR3tU4;V+9{xe57JvT_67@LhVLMm!iiZVotG95iC5O3(~&>vOQeqB@>*da*H zm{0aZ5&}coiXKJJ8pmmk&ZEry?JSt-99(LP^I9^0mZ=2hySWo`iPH`mG() zNn+WlJXvCo&Qf)1^oDtQ_<4F&YIJ7+Hm9{f-Df|{uqRAm*rAOdnMLUW&aJGj5!4XQ zrQlLQ|3d0X>+qg-$SSw>4fOC0RbSo7_b3*!$eSd){^-ID=+chK#Rxi*rGLHzE2DHP z^0pJDF$BXXeYb*8qk@zJLaBfa=o0Afl-k%7+6CS;v3wIoY#}WQ^AUP!hO~&RRbM%c zSbiga4o#`eBiq<0O{~dkl1`DHXuioMONQ7=?JeJObgP-0j0n zfwSXF8+~I$(W_S*IXQ25{Nxu{>MXEHYL&?j2&BhVP7MsDxmua}+?NT@Kex@MeLhF& zcnV>0{?Hs{Ze8tNI+aV?-+Q{bKV09B{=6=}-mPY7+yyR03;bf6&^#pE*m|9RO|${u&O#-p5Q4VV_RiYZL?>4kL_D5#g9lJZcm~6%Nyne?9UvduZkQbWY;v z9c^`A`Rr_z!`Xm$@6j711pZ$69Q|@<<#{8Ka_igOrT==r{MM72``%!^;(x|_b$=#j zw%YLQpF?mtd((1iWifFFcb~y8w#6jW6XbRJe7SK_)bqC>CO&Q^QPC4TE z=#qLdVAM>d{tf=yw+}*OHz?n%o1>=gvTJPv-5ri#p;yAqu(nONk_MtaQ-PpKKZvw9 zXt8^;$3GHO4MaxnNi@?W#2+Nr;p_+T)}ur#2?F&b{uUzdD`BsF2v3E0Hxisj@piw7 zHq!;#nf+Z%-j9c0XJh{jehRd^z8^246&*Yk>Hz+&|1IR+k2#(S*AL?DSoUv;3+D+@$`+9dD z145%kZ8hu8*MVEwyJ_%G=}lTzG}7rAg&pSE+2Na)^Q`cj*iFy!#I|}`h5a31Iyd#E zWAS>zsq59-(ccFj_qBD}KRbuNZu-c7?8V223CB?B&6cnxOacFBGW_BGWFP+J`OoX0 zHhiUq4wYMVQe@h9%EbG2D*a^vQ%mSjn!B>b5$oU<4ga<0)1Buf z$GeyICjs)gQL&&GptGlwlQ3qPTHyFBWV>MAH>N;_G9mW}_TwO2moGZOV#KvvqJjL# z$4D|eN4R$L(4uN^Y$jl6`FllAj`NX?fs)>3q zB%I5D7D4|AG>rJ|d`Y6gB7ueUTOrwJkyxq!2=Lgk^Pdx{g~|gXM+2he0bs?)X!x2| zNbr%!(jm-|@(i;X;nctGN0JY{sN8ddk;~P?t?v4obA zBQn`gA{(ZE;c-vvWx|Z>ly^xqGot@G+SBu<&oD-y?mmyC4Ir5dDlE@DDYu%cZQGVb z{9qu$%q2RC9_gW2uBq|Q*7ft$-ProzX^VJY-|pUir{(x~+&ewZ+A0y~@_L*9vv_xw z)^J&4(3P#bwIwj+|C5n|T&~T6!|JuQ^LS$a(giZKl!aiPgLPFaK%8}f6S-pIZL%Upbt9o5a<4yMEUYggP<{Dv}taq`aT z17$vD?2r2mmNjwVv+20CL_WTJo94vdIXVpMl4jHN$+lnX0jVP#b1T<>$_UB_(Z-GN zsQ)BS8)6Y5U8RMj9s{CRN7g%@0!7dEp|S)782!@XJtG)?lm^g;I|yv}XKniE@9CQ5 z*l)j?+}sFg?7#I)w>;b+ZL@j5b$aNAkKP5YpFWUD@CbWZT;F_e^v<-M?mav&sNQtj z*W8jHv-c*Wwri229yAM*=q8O@#iqH#8}`al(L5>D)fC@$;u>RGHi z>+?Ohx)Y1N6B9W*Gh+-eb~9FfVWd2{``Vcky8LbTPT#{9S)V9$nR558`0Ba*%4_wI z4*pB(yRQ*fEfc;bHsYtk3_H0){#*`jp~_zWSb2C7w84^k++LQJjw<0q4%vtJj_Mi?+5G|LYdYP%kGhgdoD5W z-;5G2?ETS+H>e|JgUob}yu^F|I%X58gnhvvTI?L;R^7u+<(iVo79o!_iroKyU1)vX zBX)7@wH`QRpUTGt7{)q##yXb`b8GJF>hI(KaztF>O3M{TQXu1x_l&*y2k`z4mbv1s zvV+;DKC^}YmHnSBtv+R_xW62Vo;!y~HTQ+}_ep;_f-bdwS|a|oh_LtPM~zth?|_}5;J>ob|H@v^OCGcJH(-Cx zp%fckJ3Q1mET+0oTItAK_X+gewcouMBW>jpPX@xLtOe_MLEP&>J<7893S zL#%W|*cp!48S-(ljAElbV`a*Q#Xh?SOCRU;n`B=96_u$SF8)^(wbJpamW0h_r)=yC zLcUP&uxtv!46JHP)Xqhek3Zy^5$FR~FLFtQ^MOx%%>AfCJi~UOh_{PFJpZIHL!1I7R>h>WfucR>Y;e$10IFlrak zsBafE?D*O|+eF`CMnatCQMYqEH(KNP1_8r|LH}@ii1zj=43;0O<{Gev@$4f4`3XOh zu)f{-LDNGOuWcTo{M(9sfIYQ$-A<<~uMkMe9(mAcoo&F zI*$|q%$k`IYMMvQPABk&h$B42+>y?~{CLj)K)k=;IML?>`=1lg=^w^_P5}Iz0JG+L zfQRp(o;A#G`YiLr>dWKmFw^FDb8$=D`98Q^Bi~DM+T-_) zr>$=~=6Z7T@`*1TP=C#9eX1`7;v_2_5e)xyZBgjP@wrljplR@)hay%N21 z*IAW-_x7berg)Gsd1U)&Y=YJfv#NWwKhXnMI1elz0GhoK=xOC6^3JjmE)Z8$8wlx7 zogERN8adTH`M&VMlH_8zHbkB0Ds>a*U5a5U!`6wBoKg*vz}~7+4KC4%ZqkX)(}{iy zT`53zMPF!O0t;OzNN_=)Lxz0!LLbKrRhG!dFWBjZ3Z2yX{+iQ&ba$XHZS-RP!#e*` zjZ+ymUyS6K3gS>3J6PC8DS`)9sSR^DFF_kUDNb0q8j=ihTN_Tl1+&wV-s4;7OaZbB z`hv9)#NRWNE%!sCD@O~@DJj-K;)T{XVM=dmQ^{H9H>yC>!wN|VbzzFg2$Aw3*cGb?IPf2jQNu)g7eJ$rQLg$ke zs!@M6enk{2X3+pGjVaUyHf-2;9${R{JI(D%>=ecE4e!bg87PR{^bmF1+lAn<3dQf> z?0Ih5@}05`y&tFn$FPdvgJ2v_%e)DQ2TjSs}^!1(Fwelz8q zt6^YX`gRHaq*!#17*Lo z7b49^a$LNMBV3%KI1PbwG{V7mw0e?(Kd@oCZ7J|uoP+&g0-tnJeVf?<7qF9x%<{T9 z{3xPEn?MeqL`OUZ;RG9O3Mbhd01pX2rzOaPCJ81~EiD2C1{ZI_sK*ls$s`4zJREca z!K4MBJQ8$bl&-{l!Yj?++K&Ulb=YtrKB}M5GxbUmtY)Tn9{%+^AN_6}2%#LtRcb8y)dprxaxX3Wl{5lxPbh%= zDhWz|t`|+6&dE`T`2d1i`7a*qJ_Op*J`d1dg|t^7K~|Ifv% zDQ?XJd|W;CzV#<486Oc7JmX~#mCJkYt%anHPR_e$ic2{@k9dt}*mP?xP-l8t!)i0g z-%XVPZK)Vc%k^MK+UmW!6aRr?|99|zNEZADDt$tlzmsJFZJ7TBReL*^{PUMz-5p=J z{DJSlw{a)+Z_zJEU#A-E8#(gc?636qK|>clvgn%@mc8S@%zTB?D-()#4p!rgUes+{ zG_CSamR)G?^GaG0K#*5_=`i_{ySz!se?VC&u+Kj%@Z>OgykiIF)1%~L;V>6^HFT<)BBwqfCjP>3;9TF zSv13Te1~A&Td1`mG(Tz$O2*8aIx;J?0QJD{Elg^_JJ7#}gNZp^Tk8=PI>bsxmt$lE zgoleZ*VOzsYV-&z{ukob@((Rr()3*M>hS9*DR7Vjwf8%P6i}CM-kR7pRuBfAHzuML z(6PU@uS@HX(Tn!2)Qv?PTif9l?66V&RD-cdk?T7oLN8PDp9((?$vR~pd!NA<r z@PEFqUe-Un_gSiKe4&SWU9)`QIt|- zsi=(nk~&;8y|$|NWOx0YscI)j(L#}>qcT!0b+~MLZC(Gw?h2o!8Yf3FLXlqQml12N0Hp@$>z?R>FT~vqQi|B8Yj{)l`psTy>JF-uKv_8mUDRF^KxZ& z5BOzg)Z*FAAjtKu+34%H;M5T4cZ<>;>IXj=322_*V&lk)y)~gJDpJNS$1e43$oG>C zbyYo(!25a6K~pU=L|y(voTfN2>QWgfO*1A*j#D1Okdjw~8mn56DE&t{Qkteuk{r9d zh#{q@XfIZ^Gf{fASed4riwwiOh~->4gQmPT5mtx3e&j;xC`m|v3T;$@*($24?m+NJd`=eVye4Dc3QY9XkJohwq{A%jzcUtGC z>?MHHm0P)`z;~n|{XkjxlDzmlNm)|~isXTYhUJTiN)Y5>oZ4>R-_@&@)*l1UNJ|6;zgbSU>UA9gVw?jKp zh`-%@zcxV1(A2WQH)F%m5%t!?(jf&Mo@g3wf>x>ox(*ml)b&1tYu13Bh4+=z^@f43 z>@*`KA{56EXO3 zRyNoT zN1)J1q;M8K7B^0uN2bt7p>UQr7Pmy4N2Ab4r*PIL7PniR$E486yl^%a5BHuf9d7 zcIC1=NpkH66~gq!H?m$|3yieid=lB-egimK+1nd>if>N`Hcro%FIgQ!ckamme|e&d zG=+&F`(z@DQcm}Hg*11K=sO~!>Z6IFOXptzbMqI(&)@iu*Js9UJh8u5@5?8)o8?cs zF1mQTba>_D)1o^j7^BAqe0X}=vgU30xh?e#W@Jm;IjYl~PYzF_^>d%Hu$H4sn`|v{sJ?p8o}){dd?tSQ zY~$psP@Oh*SLme2(Wa(gN1m(`JKnTtq+X#HZ;Lm@xZrPZT;@0%gR!X z6JWi1a9{h~RFidIb3(AGV(h@Q`0C{Z5MF_mV%{5hGl|jGj@^MRaPG?KM(>xI4v)2O zB_At^8d>C+$j#7~jh9G=I#x*PnJQ9bDT3`VtR6M8a#(B)pY%-Jlpa+pKAMeNbP6|-HF0#cRdNdTh~7zZ z7#}wr5fCUZK|ta*+%}-qDnjoeDNcwhfn+9x)bxi0$HyX@|3@hQ!d|%PYrZ3h5+;a} z3~>@k#4wJi7PcsTh@?0P?g+A3A952uDNck%w&;&g(S?EtQ@R32Y$Z%=B^k1$-H2gN zQ7w-_`gqM@GC}}~*)B>8uQ5)%N4CTd0DoBr3hEY2>zBfV#yAEEQ7qO6zZZB1g&IBdCfeJ3FRSac9ad4k%T$t2OYLEpL$Q4;15TS!y z2QLc0fo-OhX~}JBiq8TPUO#HXY-)7u3fKUy{~<8HSmr^v1Pho zy`{a&&1axp4|7wkqGK-3UbAJgpu^5}c@2ng#-Zght-Z;5{zxGn>WsO2j!G6^!yJd` zsLOMhDF4%CF3w5!nJxY{E^BZt5K*R-_n-*zr|E*pX5rjTZ!(Wpl3Kj0rWc`~@)t#? z(>g?%>0rfEY-xSIC8HBhDro}o^0_$IoPxQVfFC?b%2fnst+%{%#fT+r^Kq`aUWA!W z5-K@XBRmXzNOEn3)X83zg`8MF!b@I#uzqzTgcbt=soj~(?qaDbd68t=OsFgD#f%O; z6~_srwR~B}kM+a}lbj@!)wkpN$3L&|EusE73|&1Zyl}(u$6`xS?KLmU;matmeibTW};z z7R)m1t^?YyyUV4J>F@myvL3DqUo#w5WmWmmQran#WLIn`PIDr)H_@ahWI50paw0R@ zDSOFhmLScYXRCjmGEMosg>MkteIrL%CXh>9ajB7b348m~9!mI)8k*bJKiVhwP40<;ej&Fh zVfmqDlyhzS>4xUh?dab= z{5*u8VO!gEGr80%pkwlO9siqSW%G%`dLy^8&aaQE{j7G{?dQzK(~;FiZbhA6q||r3 zKj%Iw>=z1)nmttH4G8yc-5IzK=2n#k!%3@9-ru%GV_(qNml%D-9wP2n?BXb|oB&y6 z8SkQ#$5`Vb-Lo0m{M&DlYsaZOM!QgGIVL4{t*v^O;2Z`C4bLKL6g#IO_tsA8HR3(g zfb6-Fg)i~$kt5!(9$9506sL8ld>DQ{Kg)XL7Yry*Yvyl9@OG|XIPOA3Qn_u_06RcJ ze*ShZC%ZZIL?psX;J$A`&dTY9v*-czulsqZv$Fi#vdA9$ACKKidtCX0j>3`4p>x#} zePz5JnhqlJ!>w`a9BB|}sRJJHeI^|?Nv(m5s1InrGRsI4#Tn?RhvBeFS zUSBHT`w!m+jGu08uO~VldVX*J?D7Bh@$%Hsa@O;134F2o#nJFu;B}Kb*&|*WDamX<&!w`EftraTA}Lb7*r)=ikw(E!(~B z#0^sc)zhuTP@Q9UaY?ZYP!M>3J)x8905)BYjI7E>&QBa(U#~lhe#yy(=q~HomIYs) zFbaP5p7?0Xgc9JVx4-bq&GvcQe|H0(`4fJ)I=^k(YJKPc-rklwQmT{hKDfER?Htvd zR0m&l?nZXR1yR43KHloF)F1nDq%4KTieaD460#Yo2cx~)w>VZ^*_8eH=k_v zo80|sYPK!4-+v(!`E8_Ni=c1Sxo$rYnx1t72UMuKK;lNBsTN&-Ksdyve0Tbi2Zb zLN!sQ!g7s2f?-$FtHaZ+#7*MsdG5y6YkQ}zpU%hC+1vGWLxX6BsH>X&8^hFvJx^6j zC~(`n-H+?mn{S6v-L^U2_^!mFk6PDpki-V%VH6k@qi)(-5UIgtAqK9|2#mt4ve=Dc z(4Wo6Akdv1q4jDk2&YvCMqy~z1<@`6qu90U!f2=VFw8B6aI~4X;w(7(Cr5!1CTx4y zaa?f{_=PAV4uil^Z`hvl#}3?hE^)HB-H3gUL1tV>cnSOwvbfm@6RtfjLdO_!`~j4a z|265zyN4ai6-LIeg>PZsTV=F|Vm=eW>M)q$F^I#q2aoSaLFfn-%LOixjnfu&Wj0u2 z!n+5L>j)Lcg%-~hES?=mHZ@2#m4{-!6Tu3MV6_;;;o6h^Uo8y$sK&Oj)<{bUnH`lC9*}x zrut9-dnkaJ2xo`Ewtp;krbYcC7(^Ao2xp5yZmvCD-aUL=N5nWTtaz?)@$6u-sUfnd zd=$V=M6`kUEloex>908pT^$d10rvuAszFZ1Uw9i{AI-R|Ho+e3i*!_i1m+g*x>(Y%mT0a(jxxjy_~0gQe}lan=B-MiJ=QnB=O?*waG&O$v)kH0&fstXe5YD|7A3Epru)0) z;V<$iL>`6wSCG#E<#z|}4;kOXV>+{0%cqkFY;#oWmBnGkW!vke^J4JKvh!l*Q#=D% z=BZd=#;No&(5M<>>+8&wp>ivSVHaA(CsztdgXPpsAgp`}zyE=W3rt?D6_i^n!||>) zW*W1S%qy{5Sb!n(%H%I79UDKjB52F13D)hBhuv(qQf{ffTG30{KajVTmv&zgy_6;_ z9?gJ6$wdL4Iu&$-6$@Kz&UU*(psr-E^%R#mkro zAiwsZoh}`9M)i~@t+6^(qrR>b%}E)p(D8JQ;w4Ko|9btss#7b9H+9rRiOzi`58W;y z1n=wqFe{hxs1T}CLInOoez5WiiBS0eUuPSM!O5c}V`hn+ad_GN2rwK;y1Wdlf&^V&@PA>zs8w*FV7+@Kh9Ak!Eg|H*g{*cA9sZOWoAnmVeH- zL#B1BO2^~bYs=SQ(luD?rzr6ePMucezjZWj%Lfk8<)M?r<;v0M-MsgK4soVsaWIUQFDWQ%oQB%NjoWJUdsJH1CD zWMRFbD&{XexRg<_3cA{H;V$cZOfBLRNM)_`bM5p|NmBg^hpNt8!Y##Ui>jcexJ6z=_Gry2;ik9+USjrW$ttj>xOv_kwrH-Z{>HdD z-aNKws;Z#IxLIC9wuy@}wH7BHIB?;Hm>HVp6FT_E-=zE%SK0D_tniVi<-0lW77x26 zJi>JW`OmMSJPlfc+s`Q7A>H(oUk%p#o_;-)Xi0823k%b#6k{Bk*o;??(qFo-QPLq#O z(P*5aewv@6Z81bugWc zOQcsRNq^tRQn!ARg23 z0imoQ%2ObczSBLIB?esJit-?huK>PRbCQpg$K|=)hnd{aTZ6uj5B0q>q5po@#?>8)+qa?l4>A9uUm-%s|ZbNLCmBYow(!sk~_ef(K#ZWxhT zhVEKUdWH)>) zI)ZBXSl*<`Mp-gXd=c<_J{jt^L~Ga`c-?wgb*X5o!L`18TOA|aFuTbX0B_kpv6+=0 zhg?~qTKHQ+PtdD#>X|E`QK#p5E8Zce7|FOX4ROq=CUtn@PwNf z;hwlDa#z$#Z)rLVtq*1My9-w!@_cdcIP-Jhcx<)Iy`D_-Gnuf4RT+QYP-do*u~k~& z_luc|?|JH5mv~7&!~(Nmk($PHQwH-*(ikcDt8J7M5;aX$!J0_a zT1nYM(q3HVv0Zs&U9jmrlVrIZ^QK6YZS| zv&p*dMSe?TRq=*I(4D!g+bK#zERgWocpdXHpSGF)kdQ}uU9sdvvbLJUvXpJGdBA%= zj41rj=Zusse!wYb5${KjQ=pMjkS`E&Zy`&W;J!gcejv4kPfC*3kiH;n$l3VN@`w%L^mjkXAENK1D-73gQMXYl6$PlT(*FKoR4Nv82?9Ycptg^T86sgc5XO?t!6G%(@>AG&~+D&9a~eMhH{6qDTkB z6#sQvc1gN`M6pl`Es8G8z~AQreNG>y=h~KU$T|pPOAm#UrUiWu8#FUzoZyHPYM4t5 zBPA*B@x6!@Qc4+0x*UcIiaG5ChC?8V7>aqcHCv$;I19lXAvA0TALJWI5+MZ7!k~iu z2SzyvyGUuYb&$CDPJ>$w!I;Te>!)GqJY^YZoGeUh^Kb{wC5)HdNoTpJqOS~qsz5Z3 zG$*9wEe%`X$HgvwB*7Fn%!OLds9>CnyD)h(niuK@mPg`6smn8N*vsB+H_L6}m%cxOwT?UrgPlbJe# z-aksh*lD88J?Fk1yJv?We@-#Lw5K|Sz(abVP+Qk(aHP9tz6(T$y>K^yvLO+J5jIEL zxN`N1g>mELb$9T2fR@$p|3ZrMr3+}{^m)?^SRE(?2nL+S1r&mWwHTCltSz_5xL@Rc z%o$vB9X{pu7Qbem{)onU&taSgyyWi-hP7^A-@J!*-F5oWQ4W>4GkpM*JF9YJ zo^TO!G#vtnc$M~DSgE64wuJ6_eD_>^U#gA?etcY@RaiPYYvk0;e$#w&wZ!~0$7jfi zyB%Xbzvm`j;9h%IkcDqVS=f0%O<{I6Jq_rts#m*l$3hZyEyqPlH?Z1#IqP)F12&rs z5Gd3KPP^?kAoc+|t~|qcqV*p47W;F*mH(=!57>yYyVA(V9bxWVA|=;Yr1MJ2lJ>`oEyPgG z@r$T>FDg|Z_D?n;n)cCy{T2#vjRbK=-q9l^#)r_i532~f$L!_1c&_Q=^ji^Zr@epz z;0aEonbsk{y&pkuh!Y>S_3J49(y;!zNLwF&Inie08AvyhYTy`e!A|!UMIv7b9$gWf zcHR(Yoicwv<@ZxriKw)O zFMa6{k@qJD!cj>dk9cs0C-9J4t=!{@dRsWcw;i1YAG>6@r$yF!uT|Eq%OF>r ziZQX(Y#&ErE81bei3J|$oe{+9UQL};=>7fm5$T%*RcG#9rnK?OzYH1wDYNZr2;&2H z#r_tc6IlDT2BDu*M7t`Ekg4s4c8$X2ta#6+RMHS6gEPqY_`zn8gd`OnRTdn+gcve8 z6f$`?c#IS>nIw4ip36xXK0_c(7tDl8iuu5Z`QQ=zT9Wyo8(SI*sel+F5j-dzB)Cjc z2%SVo)kE!YR#ojWD81i=3Wgay02^#`w~b;*F>EMd*92Qew)jCvc1K7xgjm`i84?_7 zHX*3YN6mPLy#R*20E7^fMvl5%ys<_cg7gqqI0c&s8DS(b$>C81+_utJ*+SXg0-1D! zQdMgEO9;6~{JB&Yzvz1-$ORL55tU~!L>hXK$>ElrV%Kbt@t|bHD|66&Fm}1dM3>Mn zcTB+)5g4qZ;6!2C@MM~iv*nC{7Q-L|0CLY(_!3Mx;CI)(M*m1CSKo(I#0SO<56PWq z+aSNFt*dZtGQKfo{!wHikK4u>WpT(dOrN4tM1IJ9GIn|4AUg+I(;`ft(p2E%8N}cYddmb6PSzCQcc=rsE{4%wq+IR)=cQ2l-qdqKBJIAWEc(@5&S6P70%rUSr!nK zK313>B_+@ZDy5q>2q?~vAVahe|FSPz(O4lHbe%AXeI3A{1msXoI4KW+7IJ+8(~9~! zR0#1~KkXaptR~DHsBq5jFDUu3UPg3n2c52CwWQr_)c#VxE| z+E<~S;+9B*|t$Wr6Vhc+~ z`3(1vct(b#oR8LelHf1!+52iOdpn6-Heo2`GOTM{(H%xgV3w4?F`I;Msz3;BKf(ajbdRHikXC!uN^eje_x%#bbUYvhC#89`J1> zd9(n(ngz>zh8UB;tBGH_A}ss6G*cZ)ylU~UPEAaoojPG820Hq~lAJnIXNiA<^s(U9 zD6u+RT0x6XKBmD1I2J*;A3R)N4N64FWD-|^*F)2gdnErL>B5CZ?!zg<=RW@eCOSG% zE?CVB0ZRIHNR`x`4+NCdv`Cf2{}u8ReWAW~4?^aJK=`xo@9lN%e2)l}QP%Xt|GvQ( zA@srmarW>`#c*Z!^&4JgJ2SogK;P8`t}|FpGtWrgJ+TfxY1l6k@8IPl8k$QHQebWp z6-05ScHRr3gQ%*qt-(a9Gj?GPu_s|vf88Cjn@*7>w}v}AojbjCu*D`+q>%}zZ0SBs z8~YrZsY(Im;F6>Qi4Iwx(%>4dWfS*?0Oh`xqcW_>+peh8Zv_-q!_^-?L)p|TVB z#`~pqxgq4!eC0CR+n9(PqgiWMFMW~e$YXBIu7W%)_S>KceZyH9ST8LR#>iuG%&xpV z4fflp2z{ejXIL*ik($V3c1*s)JWlr8u!t?gSxWTV3L66%qT`}VWIsS#8Wo)26a}0Y zg~#uzLpE7}P&%6%UBu70={UetGPC6$59Dtcxj#pLN|?>9L=wBP@PEtrHa%5Tmn`&0 z)W?m+ov=j8q%>#+`1r@=f>$qjSpG{?{RLDUO%pH*<1QftcL)&N7uR6H3AVUPaCZqB zoZ#+3g1fW0yYHgG-QDj#@BRPpJ9p2SIcK)3tEzjdtE#7~tFuuZc})9Uf~oK5qn&hm z%QE<#Z)@(^DqJ%GRUjQmzf>^ZYf)6w__Y@ESB@Q=3@Psc?>>qPr*E5&$cv($wIhA# ziZP{Oj#2poe?3YQ()}wEQ7vXf);-pcS|us3OfqI zD`WW5GSBbd12PXhh>RGea#+vr_ood#hzLLXR%zZFfkfSP#rXg^g|9LE<~SQhryDTV ztdRh}9>LvvC;2v{PvOorc^*I(!4ClnEoRgupVWVjhi`JQ!gF~GDgR?riQ$!lmzwKt z`#X*|S*|3_$z|B?dyDu%PABN+cA-9AZGfpTLG2>mVa_@vOInjtn1RQ2cx{<=)_K?p z@sQVKwgLpOpJdj*u>_KXH8Q*gHY;txEAjqmDCf4*A}&HJJPJK0G$}Jyp2j}oI~xgz zI~mml1V91X>BmqY-{G>Kf@kA5(@>_!zAie>2m4P$*JOp_kNCr2XtEDP2M&sNvjc(5 z=dc}~_@!BYrsP5F@u;EJN>fj!M4Xn%s3DNzpG|a{i=cf$X_R$=9Ncd-JHkqzA|1N) z8B)Zqmg5(!x>(|C_l5VAs)!dCYU{UW^2_P>yp^Z0 z->FJxN$jF55rfc=cgM{WaK20Qa+kV_^xkgGBedj_KTKsh;Hea$T=c8Xcv?M3DED*)8o1$Z~gKl;Lg|5u=YiTRfyCNVq+ymI0yS z`^R_j%vQ-UbcAOX4C^F{f2r8izwC!$(PNOkqL6>6BFyG7mwwB(6k{1iOMk$9JoQeg{k9Wt1=`M|T<0BoNEtjcw z1F7#|sl-P~`@o04AsiSd7evB5##`%pw4anGy^Fwd;F@%tg!Idm?v#5>-34YHl{8}gj?Srh zG=XSeLiKgYdo|1|9L+0U=hg15TgTN#u+}+id)`7>YFAf)T9s&J&U>p{s1>2XZE{N_ zR+{T{Di*)%gXAv-ffIRTQh{qp%QV+wHvQrJa(_uTaM(&;lfj*^doEVDPQ3x2=chP+ znaea6#~A)+-*E`usYgNmlS#Vh>I69f#T6CZc1diQtiBO zE$Cc!&i2o{<6Mnkm7DMdcRwW+5}U~w-5`KNE_^16&jL3SP#gb&gl#Kak+d;_2Gwat z$`;)3l*yO!VaT9=n6Amrgo&!jpud~W-Ohxb%H5!!D$|qqu}v+_HODOGOu29MIgv9KRQE~;&Dy&?pKc=O%h>#muiKrS$KRrAyGh~EaVHdbbSApD_+h~X%3D^TIt#@ z97Ymt6Ej-r#SN6C7*Y=Bk2L!ZpSzgk*E@02(|582<9D-3@-Ni0Jjq~`oyChOx;1^r2kAGWe@VNd&$0n&J)sZob{gxnOP)~9uV;K4kN5-I% zBq#74^(*6id;<2T4FWH3t(de0;ff=~+?hIqeAeBknI4ICkpFwbWSkO5*NgQe(XOJo zm2%<8;E=rox$hnCOoTg9O?qocL%i;L(VeJqf-82Fcbi-1*mF^r8~by-+fmn% z#j+0aqX^PqUze))zi-6kn0v?1Wi>(D$2V#tdO^tNi#_dAKO9rm*AP>4gPO-yrhXV8 z5F9oi1sh&s;r{@2=pzn1<*#oU8GxETvgV>7d5mS+rU)IE6*EIt{04I6?u4sPcSerC@`jCDbiY@MLk8(r<4Ev0|Vpg;K=&J z-qhJGb^@)J{X@uk(0PsWhdRagE$t{_ubTV1hJ4oIh(Gy}$_`Oq49p0Dd%=(&o@Tra zK9Td9A`OklK}0c8W=zND^{8LizZr1`j$9>D`!uhp9uN_hKKq8f*E^#NcxEh0~0d)f5AM= zEY|eb60W~sb#9dTrS=nsUjw)f|5)3*m${eUJ!;8-vA``cYp{Rsj}H$KNDe8nBwh&L z?;p?KUvBTa&Xxk+`uH8z68$TDRv)+j#gMF~`aQ0DoE_!dthEyOy*X=b%r&O^EdT0K zi7aZ5yk6Cp;Z5vqZ(V02cv6<`YM$yvHRrfqwUG!3Fv4wv+(2AiiVreHUTEXq2h|NBgH-Jlv&sw=k0xOauX*@>) zzuzpTTwy31?h*+jz7~b&gUpcwg^JRTPl;sT-yy&&WEc?=#T?l0R5?QM+uJz1L?0+( z7^Ywztkk`bx`(z$uPH_FwR3)5^nkB~!m*`O_r-nLK|KRy zJ_*;!)2%3RFbB2yZuUy~mFcm>YHDGKR<=A~d(ek^TiLBdIJ-tl)XNhRF@csQOvg9} zt*uPF3caJReE$w!K5((#E+IZ01WQP!62x?j=CEzj*aVKRQ8W6LLy-24M};jK@t9uHhm?+!I<`3cF1ZF@;>ZujoMN1$ zT(%UCqb9H$mm>hOR+V~Paoh8`MfRPR284vokBfx%H%w?1A@VGT_AWG|szRHPOjzTj7{9Kx4Q z`fn)J#x>ctD>gHbGau7Zr}j~6OS@TSIg0vNPvzm+cFd}^;H3xd z;1pd`ML6pR1%Tw==7&VrEtU^+}Mu@pXpqWG?{B4B!kA6|6Om}9bsC_El_sfjV!-7oG zZ!p}PAsk;lj%A$mW6UQ!*AcPRdszoxNB;FjOAT9?0(q?5U}XCpoxY?GqfGr<_;(Hq zOhjqN*`HsrO!$e>O)8~sL3%QCUj7_h-@G*o2rm|TLJIrztBLBz_7on;-_Lw~k>;Ks z)h$~BJ&QOn#6?2jse5y|<*W*-yw|EfS=e+q6zbLFAjc*ZL&T@A%p^WMg2uN^O)C=qpzzeYg{vGr1Z%p5w=q8#?iZ=+N68AQVlhCj%jLaVhav6bn?W|&_CnN`&=Bv75vppTOU(7pn zwP?`i#sm=R2$eABjO%n-Qelfj1Np6p$RlsNyuzPa!J)W8x6x??zZ)*t5R;7!Wbhbx zB@DDaqpOVy<|35GhH}iu%)2_=RtHrEb*+53{;R7zk8*dlVs!nNc530k8j*C+w&>6n zw*5CxT>wRc_1<}})}%K9vfSn=^YN$E$a{nF!wU6`SE9V*Bn(%)N=kUQ>;(ASADDZo zAH$Q6>u+G&R}%l&i!*Y*kyl2OBocA+!z?(1yhw7|hEJgUP` z_2nM0^wD~YAX~(-z}F7ULoga)V~O7PzKzMD#VCeTiF<{SBoFp%^ngvJpQjp0OEEUAqq&p=Gedst=qY1vk9MyYND?)}eV%IO`OIUhD?T^Q<9a<_ z)m`^gyT~AWHVy8u6PQ%>1~x+@ER! zvT^zD;cJ%AL}Tq3?egH7PcBic+tfTrFgz%TW{-_XpC@ZE{$NZ=c0h30F9;`TGMvTCwEj40uIjk4;{%NyWOhIQmL$-*gsdqB1-5J4%tJggrEHD*bDE<^f?t%LrRQRe8A84@O{8B;A`Qj)a>Ku%WkNrmi+h;f z7Bvw+X>mCQLUWJ*I4+*7FEmg2v9dUb%wf8M@`bHYQGPMxGzzj`SXQB)6!@iazT>`% zXuc8|tC&w6Kir@_MuXY3T)P$N`Cs(_O;XxdUxA!XIu|1l^eBYL>#_W@8ynrxd}3Q~ zr;Z;->XTv4a$>W=NKS-^9ux9P2EXQ;HKIt^52NK>4p$^v5C`z-e_+^YOcf#TlIolU z(^GmYNHv6hf?==FQ7$D}#Q00mM2d&~=mJoa?$>4^D{A-fxsMXcxfn z8tt$SqfJ*#DVe7NEn|-dfjbNnzwBBT{8bR=tO+gB7M$IhRPKR8Fh00SQNXo zjwb0P^031fbeVp)O_ZB7|LdrSJf;xBA=ICuvW_uI{>LTi>OTN3N~C$r)| zB>D}phP56-KE6~Pg~F~+X)gN{C~1-OT3!t*=&GAVHu*EAxfi%81+KQi+kV);@DSo3 zhM_8uxP(YY+PC1ZLeRy>S#l;a*xaFGbW|S|oU|p=X3DHrV_K~{-%?i35X{TZDW7iU z1!BD;8U$t@YIlju>%b1A0AZhoeb4Lb>+_{o>r=#Qud^%B|2s)Dflf=?+Vup*lhDmn#oO@ZPmIu4Thc0IJCe{**F%BWqn{$}%72dqxqUGe02eMu6k)^ecO>r%FeYJT0_G8}R3 ze7;vM-*vrpZ}T*$Of9c{cYnL70~U*BzfC#h(v{!DJsghN9vrcY3RMOZHU_IBPFod3zn!RrFVGdT&*rtM>@DC(I;wa^8v&nb3kz z>=wP}cy`fEjW}N&m$a{GF;jxvKeZ{+{8Vt9ecj(DWq^I=y#Xvdgf~VZD`~T zP+I7;1$Ok28HR<&+;FhlI4@LE-AB^8#uM9gzxEDZbmHy}6ms`Sg zUG#A|Pkm}4x323 z-#8MqC|AY}+$6N6VU5=(JUKgiQ3ZGs_h`pFDtSCt?(+%+$FOAoao`!w7Z zq|l&2+9V)itK^#p2Q9NfaxZ9(Jm|~w0ri!M+x;Zg*7}_fh@x5QmYIwoQ~xO^YbxA> z#8awW`~&yXut2cvO}@Hk=0(jyHdq|7AcT7n_e8S(hc(?Y9Ae!hB`yozIzANl$^UR4 zqXG&*8HFIR223=wY;iiBvNk(kaF*x)Tk(Ufq%z(#uSH%-p2#AeWZr$uR%!ND;a)!) zBg{Y%4^XrQR-;-Pv}();Pg@6~j_)9uWxNTY$ZwI5CMx>*C0_jlO+#fIm~fqJxJhHg#Z@G zD)d$umc%^euX@P8C-R^Yl^GKAb*Rm`nqX+;eOw0m)JSMl`UD7lqGtksv#yhdB1js- zI$z?>_Wb%k1e6teBoMRY)}V<$bjP2lm;Aj>E>aZdB6!s|<*ZCGdnX$m_R*S>GAh)D z_2kP*C>&NBvcUhVI!n`C@_T{Y7frjWr=(`#zW>Biw1dJ^E zjjn&r!$s)0ObA-tl62&(pK%(wRnYM+!4ji*dZi6nOS8zYt3kwqz8upcaxAos@s0D7 z8eV_+w0lD3GAsHx=f%D1wxR+7+AU6{App>-Zr-9D9-&@QgLd49{MZ{i0v^W)xxCYU z!ZI=1hT1cu;4-o)=FW*?y&es@%tqAoa?oSJAeJF&LpC9l?Up9BMY0n;MV4++-+i>I z?@HR4zgv&WKk>Lf$o-rDKdm0*QMuM&?)|rZ&tQW~J@50%9ZbyU`|I&Ph`|5#3)aG3 z#lO4%iaF=&>bEk-OO&GX(bOeKjiN^ z(J0v4+7!(`Ft_%NlCzU7j^uxZpUT*wEtoViEdwk_79Ze05FKMv&m;S3DPoe=PW`X8 zig|zSx>4UzbG=AsKi&y*b}c^ijS{wOosx9z^%F4$n$OoF8Pk>%I_gav%<$LC#Cs&PNEsSkMBhlxv+jYihN{5ycQbTaoUedWo&zLnYdMDcpK$KgyZ)qf zrh7OPl-~QFlt<`g^jX=t;|fj&pFNt-Dm)gd4Y6Cvk^LY$FqOp?qxh=ne8XXDEb_yr zd}rw-s2$>ad&Tz{De`zxrh7G;vwX?J=34M!L1t0c3;*bN`t+bOJVqlo=8XFKf;|v< zNe_PY{C05~HDa1`tk_Em)Et_%X#a3A_d$eYd-s78ZbZjscV+Zp&mm$4My6D{P9^H6 zPv|q6`?d^sB3PCUISohlIS8@FS{;3XU-~xwjH-|2kB_^l%uOIfj}18$M|SxaUX_42 zefMd>lkEM6O%TCgEV$SBx|38-n(~lLvxSlNbGNX}ZTuotZzqGEMGc9d$$m2Cqq8GX z;2#IzX46i36o3IdT#eGK)pGlT*S$X<6>vD#V~&sjG7ddvYTLUOaH7DIeF~GkmuA<0 zsYsmK^W$#|5d%C%iR{TF1sIY|a|eX?>Rx=wokIFKpgdD)Kx1)jxPPp1m8P)tsl?Q? zJD)fp1oPp@o&%NBvuet+ZDj4x#SJAH55>a*g&sP>vK>)qEr z-J5xeO#2j$WAyr$4<8lL0m}-e7TgI{BFJ-9T#3!`~^oT&Y1}KT8@>|NeE@Os`@hF5+*>wOpEt+*~H?(MR=ERydb2(P`i} zQ`~886KR@5dZ>iRLnUO+@xv;7P1lk8J@kD=ogdQ;H?=hCWKPOKTp7k(K`BAE!-sduK6k`!`1)IsxP+t~M#& zgf;re_NTy#fT~;?&x7HQ=-C4WA@ObtliVJHf8q~?%MzH!IOkjpbr*`idSGLdY4@uZ zx3IUJoTs75d~ty^KAib;$A)=qE4jyhA6of}SP!DwB1s2_a)s#j_?q>l|k2g z1v4XayJo;+?Gv46sjwpj4f#W3ZyM%54i^)&XXCA2plMC_cmU2`Y}w=|p)TAO><^+z zX@eKj{2ZaL3Qop-d7>Hn&?|O9sZ<6$0qAy_f#AZvKp2(%A^8yXH04 zYv&nwsru^Z!|I6JIHBAXOMdz6PWF)`6PBbd)Pl17^rBY>sh$5L(V!(9&{3JyF?U?} z{uawY!1KYv!ZFE=wLGSxO0#CA%8#&N!l*~FrQ7cd@7=8~;#^Ok2YYbgXXkHrzlNM* zjOvT52(vPJf>!JUpTF2QW8iPpVZW@1jA3x9tK)j^Oy zfj^WtRx`(>4wmwmMr1+oxg8QN@Md{9Z<07vwn>*R%0UFlwvB*p%!6X&Pww+u=YUcKc z*cHyK4c+8i2KLqPRV_2|+>r}dod;qrjY@7DKd)QQhu;d5RD?!A0ZPDv`dx-=0uOv( zMeP{2NiU79Fs1?X zhT{)BRMw(+p9q`qk1J6wl5GD`R_S;mmCyGZE+Cy2=!?ic-)78@$3S*edG>hBWRJbLiGO=twYJ_>~ z6Z4tqPtmCumqr8H4p#E~H)0`y3oQk&NC02!O`QW+nel`i%|I zObUD^AUPkz*0Cr(UY4Vn-m3yF76j*=flwuQ9>xrD9m(=5kpL!)E~oecm^k$rmW4&^ zTF`F#0H|trsO3@8Y#8b;Ry8kOD$+7CA)6}J`j7r1nXSqz*VysLRh>MwI_ia}S#?^? zb6b4;;!!J_Q&^}_9YTewkr*meKDU!_8F&Ihs@7?N5ck77p9PHbCXQu2M~W|<%pEI( z<8W_Z;=gOIVE?T+wVfLPYMVB+{}Yk+*7j_UiNN!H{UZKdlSCVMFSe!^XcmIzPH=R# z{fB_I^Z^azpCram9>e;A4?R#GVo_>jlYb_n?5zs*n;s2B2F26r295 zXZu-W&s!T<)8CHKi&K%fWrm!q38cgW1X6|sxv@gb z{$8Dl{fU16PL>9g_S)dJZsYn%(?I?3Qj5ZGt|+ld--~u@$xVYRvED)6cgICSv{Rrp zuR9jT3^#Hd4>^bS1#-MWN1Rj#Ym@)9_rxHsoCZNsoV!pn%PXbLW`3Ot)M z))j2gnVFal+P6Nk-O`YRX77e>qrg!_1YDpMnm5GrK*zra{ONHAOCh52(mbTQJRC4f zqGSCKP7X)n89MWE84J6Ecp7xaLQD1Q6qh7ye>nZo2W|rD5^Mz$;sd3!Q!pM1X~d_BIK z4q$>Qc!2~50>*J4wD5GQiRPPSsxaa;+)M{ib?MmQoFN`H+LmdBkQ|W7{8h;?l z+zCCnI+7i#+0w8qbKf8a`|2a$p%xa8?fFRc=7W%yqp6H-Z7WhK$4hDK`s9F!EWB)E z)CTkJkU!Po&88XMA&s?%25@YJvE0~9>n}_GfTG=L#G@|TJ+05A87R2qL(+bzz0m@* zVilPpnOfy*>jpTdyB`*0m&2ibVF>6C{0!5fK*nUAim7hxQo4l*+Sc6;gX zaO-t0Sf+bpb;qtSz^rh6JMt>RHx*O!YuHj*3=itk8V zKLA5Wtj}6Aqz>+_0IQM#_X)HiP!WjbArQ67H1Jfb=sOT*Os<&YTv7_ODMKX}tt$&Q zA~J8l0S?=D=}pf|s;k{1<&;;Lhbt6r>G@^!(Cqh<-Eo>HK~;n$qk&#LXG|XsSjcvo z--xIzXHaiqYs6cE`+(RUuG?ptyddrtmC4BMZUl+&gbZ+?P=nG^ZfI6ZCe|Uk8oF4estUu`4Nfk#w9ak$s21 zx0DyuO1z#gm%X$KoKjaVj+4WGF&35XF>fT(v&D4vXm=_ZY*3QExAzQXbThCM^nOx` zF=9j~6X%WCKyxLsGfQur)j<9z^LA&0BClC?fK^DooRK%zMZE@`8ZM!cZGbs4Gnd)q zoVDVushfC;z;BxQprFTdP*EKYU@Ro-?c48XX8b*nTn#Tg zEZR_&+vS&Rd)#*1ZQ{6^;5|b)mk(~LVyfEKD6^X&M}tCkYHbG}Q?RZgUE7PiGGmQk z;_`6IOC<@Gq@NI>zTjP992L`lhWb-(bVA7uPU7vp`4(p4K3?1AvJqG19VG3TC&_aA zpt#!Lw@Ut`{AsI!^^!8%U&e=P|7f|fZ`+B@fQHuNM}!8aa5OgqOSVy~?GNN#rb*i0 ziM7p7t(q;nyEb4nB{RLhFeX8zWf^E#U%Z{V{7J~)OkZXO+G@6%{Ixq8kl`maNs=Bj z?d=aE(zJCmDCENQthE%(`O(~HP;)Vi4>cEcP;){1uyc0kC6dXOc<2rC%n|a2j5MSw zN9`jdJ39VlaTr9HI47}tjPT?de3oX}$Gg+fEfkt4nb~$YD9OfbkhuPxA0)L8l z6tm^SKe~sfcc<=%kdT!2*ZNH@Qcj%4|id3Fg zXRg4xS6AuSoQsf`6sX2ej@gtBnbQ5Q3;X<|ujJ$eTiS?g>_G~;y#kWTL3Opt>DCpN zrgYY|v9Y9N_XznPM`P7=AuV*!x7C!BwTdn1fYg^q?s@1fto_EBUJ!)tt*v`xdUUv zSl{bB?yc0qFoDoF2e*s^&Ggyy@vA(FDSADg-Py~}p8(>(^eH5g>INg0XoCiWo<5lr zx8F>K(e7~Qz*{%9%<3<^q2mA-eB`mGFqEcp7Sy>&8H`-q05E!rs&pFyl zrP1ZKDQrY_jFp3*T>>5e59m)|itqXh!h~C?!MDF)*TwAWTIHP3a%@r_>(B<(TI3;S zUz3MP&5Gi8H7IJH4bDO5m8rS71PNdP>(`o2gFgEX&ToFp7nAmh6Y7x^&9P0A8t{C5 z?Inr;sR;k|FLq|aRZoG1++-!5_589>{a6dtk15NEiJKGbS8d12BUk*|KCff%;<*Yb zb9&EZ8mu24zy7K;@jHlR9pXLkc;s0N!EPLQ)PB2Of4{l42s0?0{X_Fh){C+z{&rpo z5b#rx(g(5FCBxq2m(E;kv^(f0^6!c&K3xeCZS(twr>5Fs(0TjGapaj1A6&b&B5&Z= z32+n*AFxussYwf88=~5l1X#vmR^z3pSQ%fXUbSM6rcn7?5fJXWy|o&Xa^T(aZJ$cK zC7OGY!`8O3uVk=J=%H1RFz>rIG7d!g&_>tT+xL()^@31Je52wT3Ab+mlK z0vp@YE}3!Wl2|)=uL}qp=Y?>`C1eXpQrJ*vj<&MK$`VDJ@T~3A)A-2;@J2qwkWIAV z-j~XzL)B_{va@~Sn1a`h3xVI5GeyzKCqK`dBJ{2iQd;>DYg7GxG+vLQ0t5oq`Lnqs z<5rcpVTpr&$-56CV!6TUMS;#D7%ZyN=#9_n=A{A0eeNG31U!?BcB!6#6t%3sT=bcZ zc~*sonl->7#nJqWO@M{Eoy%s6)4jR(p1%L^7>P(y9Mj#kX0c1Qvpvjbt@X!kvs+ZZlb%~Z zTf1;q+cceN(&DU>-CX*pau4=jxfi*W;^z=^Egzba%~uHe#6z zkP`(Ly>fFcp_tb&YW;rF0I`jjwIP-b0#Jc|jM=L3x^UdbHfTp`JKxt&dQUh_P(T-X zsI6}h(_ftKL-KCc<2B2y|^l%{vR6u%?MY^usvBhAODpSg3-U zrB}UrczATGyFsE#%eKARoLxGTEEFQUMz)s=<(1R;Nbhs_rHl_-=IPZgctouX?X8re za4%sNi?tc4DRB9$Jg#qE&GcQNmt+vzD!Yj5FFt5MT*17jaaAtXj8h~R+)!U#3`AGgEl%2#2^K{j+59Ip><*@ zFIxy-my)38Q`v7`OkrH?`d!mZV0vot5Bz;RAs;<{Z7u^%Oe5-w@0tN84`?KX2T#dHG6hx`%0>)51}{cA(hD ze7vxC^I(E+OVFJKusUXBvGa=Z73@dw?evDt>0+6i!4%2kldi1}aM0HwYvLhHKT461 zD~-tO4+oILp(;AU#!kKmi5FMb^|!X9(6r%UlWF+*LgT7rYB`m~POZPm*A5@M`{N%y z_&zI_zmOPH+z4>4{WdX%El5fF`2;Q#tf9Cna)BKC*uC=6nWf(sbtDIad*iDnC;^w^ zuDBLegK6hhInyh?rqwe3s?tjU*N&F=+kRvckzYkQ_=`=t zlM`p59~%Ic#q=zb12>x%08lixxQVX{64`Tf`O-Oi59j8i>*xDcTb=-bkaVWLsl7;s z9xw@K&*FPx-d&Nh7o@czaGFvxxlu z@B{fB<^=aWHp<=8IiEz7hZ>|tm-ZkE9c;~6;BQi+(ISiAZIc?Ah!BSlj)k&k@Tm-+ zSmr1{R*%_pLhz`d9=Ck0IMzJBx|=^VRGG*xy#yZ0&HbLYVh3|`mghIA;SdplIJ@AJ zaz&-vD_V!2p$7l}$z+o_0E@a-b)2q^VHiq6sRI4_g;zO^V2`RTUh&t6)Nu1&x`Hj< zT)GPqXJK3;E|ZyC@+%$SLOLk=%&dJRXP-(mO*kFQRNS|ZVFuNc^nl^^&J!IM(h_-w z2(GrwQ@Ws>eP6>C7Yo7Iet!-!aZ5fZt1`7N>&&S(F-h0QIQ1VbJw;a($@O!mrKiWk zII?9eW!2!c4QmTDeX`Nq1f^KcfVj)A7YN{?ku^R`F_Slm*m(L@ zJ~Dc2a|3C8T2H?}6WkCK^zk3Jnrgc!KxgxxzPlA&ojINr?Vq+?zzPo14Gs+s6%W$U4ek{WPPSaY z?&k!IfZ^$e=!+eAh21kDho5qo!{@*UIi~hD;c)?>qpQJ#%2T4vNN)B)3Pcp7ORufM{3jmj$DqkreEQ3 znGV@$H{YpuhW(9*IE)y%bQZ3cZr-pvUdC<8tvj5@gl-ip9*WJ*(gUXDW@M%_zdNrU z4&}1bA@jML`1@P?8(aIjJKGvuwpC^-vzAy4?d|W=S?rfs?2TQI_1`7Nkt8;bE3l1^ zD=RBbCEqhVMjQi%rIKYbva?d!m5+OsME$pm6WFHLZrMw07oSs;bJMcZzg+h21hH7v z8?|0MUR7+*xzx|?(kxaA+Z`Wf-r^*h4zVgIDNjyJDNe*HD#TPWr8YRt-8CLB+JWn& z&e(YX{5(93>>RwphWKW$Z82~qW5`MqsZuFCDYn;PEF?{%A$rgK{SV_O4=+i2&rfPg z_M&!k7TK9BoeIjSQt7&Bzj-P*e3#u5xU@uE?cJSCyg?mEIlNN4#e7X!q`B$2x!S*@ zfL*%{hmV-JoQ;&0+1XiH>8xx%#G(3eiEJyIIedQ z*~U{sn`jP%Ur=_9>Gv#(_wX%7%MNiSBV$!W%2suDpDYIHPcH=wh5hB4W>5OZd*^pn zvt$K~k0HA+#csMwM}=df6LC``fXv*K!x(doMF)s~ucjX;36Hh~tVQt3fBhko1wONPomFlnLvetvWrD z=RJJdG#B2ZFSfAU+Z+28hU&GbsGK@=apkkntI#SUJekC7wVY#6zde_DMLLbFpm-Q> zA!egYa*ma*LAD0%gUNskR^KGtX10$e9@=xok}K>gO!VfByc39JWTJ z^Y4~a>Kk4Wb*}z^EbT6`dE5@NkN~RLa<9Mlq+gBb`NOlZ>69of*VsL`CGu7z)93nX zW#zK)j`cnSSqbkZxs@bYELMqrr5lUNP0vivWXVmxnmA3W93rb8%ET|)Hvd*kKXy)k zZJL?qBaOx`Xkak$qVU5KE;0Tr{Yn?I6Md5Mmmk8O`On9Km7jJYncnsB)ZD__-B$wC z?0`nVrkwtG$lvPP+O6n%T3^?=w?C$pan-WhqqL!O`WsTmxLuFyvW@Q2R;i@h?9_KR za(Z=Yu9?&3lDNxD-WtZv)2MIIVo^kwIEP+ekM44nebRutlw!F8QqA$+^*A%#r!TRz zu)O`tA3CBkebsKULZ&3BI?Bnku{oBl4Z?!Dj&Y8^oFSaLn#HTw+b+BJDX5S5agZZ~ z?|CUcAzVp~8BDyIhxR&HT5G1)NRR7$^vcuekiS=M@&>kaqY&q6TLHJ@J;f`b+{`b~ zSTeTpq>a|mN*#lj5&CC~bS5^dwwFO3H4)z`Ur@Gt%VDWW2v@0|)T4=5{mlX4sD3cB)(&{@9LMhIrjE+uImOkKafq$=3)&gwI8fryXy%wy{(Q- zbuP80p7L4PuF>B6T@(?Vt-7_otpb|v3Ze#Ys#9b1+X`PIE@1^HyVhc&uK3Ee0`%-h z-|f9G=I5aZ!D?7_UF5aJ70-9|bWO>k#cOhBvlI+ZG@UllzI&+WuiHJZ@is>rQP9VI z#oY}VY0Vh?CAPuQM?-(9ee9a&dzq(QqXk(fNq$nJmNL?+6l5}0The35THglm$i}lb zt8f#=Z0zse^4W)<+#qRUL#~+J-fE7k^R9)iYH}hXqRzovY(ge!Y0cw2Ue3B=Vp1R` z7IA0s+J4>)4h^!_&c8@f6A#efFmBGH2>(rEW!{sFb#cIs_vzJ%we4lo;@fbzzkcOC z^Lf2A^6nazy1&W*c2%r<_|@0)uGk|(?78Y+$4fScOExxC{|{?l0uN=|w*NeBDrG5A zNkX!lHv2N!iz3-VXp^0?4`x)zWUZ{(m+T>X7?j9P_Uzf$F~%^)82qnMJx`wA@BRMo zeDCi!?)#j%-S<6np2u~Z$9Y~CV@R+z(0=qS;v*2x3~MU^{yls2Z1-k|Lh?f&rUS+o%Ip}VscM`Me?9gbUhRvfo3 z6w?P!_H<7nt52G zJKM(dIj5zk5V+k0#q{Hyk2Kd@?!<*r^ zDh);Rtso~N`l*$1h6`HSuETq_pVeP49jOS&m5b2xs0J!>7n*6Kzn_9WO@+xN|bf5kcmcZes>ZK0>WMPu-zHrSAg+~6a;ThcCzn;!Djip;Ae|^ zR<6T(e}4vRM(``(GenX7Sp$VkSeOcxJCZ@MS>j%R4=@+&jS6 z%E*&t@B5WC?e#`+n}1s#myY|GcBf*okQKuyyTRs(1k#t8?193Dj}|m9il7E3(XY_> zE?$?K_Kq8OQ)dg|4ezZN^`Eto1U~8L!W%@-Z-hRI#M>NGn(ry{lk2)Q+Qu^JN@5WH zxT@iQ?OpK7MT2as!?z&C`+_H~eao=y*`@+E_0Hj2q8dZH+ErGEhly5^--vbB z01kE-88@7Hk1ikFrS%P5quOry+S!6y+Jk9&ijm*;fM475_pgb%7}-4KHQOKgZPAN( zCfu;TPba{%Z#7fE3hQth%L2@j>-vGR~ ztp0aaO#Nqzse>mB$nR};QQu@~9A4ui$Na6K-5M&ZY2OsBO1~-A833q}-a_n1)vzG>0BX&3JwmzNvioVP2ut z!@M!!>k&od_rlybw`O&Ht>>EqbE4I$O`G-`2w4=YPxw8|mYKV$?*ka=9zD*?jRiIy zJyLypsItNLt{Kyo6HunKsOdMKPu{Gj-BV=Rx3lQ-{GUlMT7YJ$v#gjWUU;dq6*aEk z{`8kxXwpcKI<@(K<*QyXGFfj9J}u=!%$*0*rnwfPg6 zAPE77*kI_*T4}LOkOB+*ZK?aXErh-OZ7(keex2rP=+7jU=)SeQlgHqwJ;YyhlI2!~ zQlxP~RyGXY26_MH{fztn}fFN-{3=KpF#vCP-a_3h(9xn|qcrxw@TtKl9lU)j~dWKPJ#Jk(1UxE>}&UI<&pic6YC1u(#!|o(`kHp3W zb(huYXDSP7(M+jGnJ@jJH*;(kv$B{FYhkdFwJ-Lqf7VTBzVf3Ys(RT9{ zk5PAP5RcJv%Q(dP#<`PdJN(poAZ+q&+(+U;y=NRMw(nl;?6Nr!_AnCJLk#o@7uYDI z&kJE?Ij4_5N1rFe%6v|L0nIL)GKZ&+)Db?Jv)kC%$?$cMP@mV;&tJ0c^FDLrX+M{5 z@%nkZL8IjXw0S+Eb=GBK4;$|0sF}X(azjAEA=_R?KKE6Pdr9;80?cX#MTk%0ADybM zs7l!3h!>X2ohq*g+w|~`H4`lf7uH>v)?IYgOSCIarWkckcP|qiJP=Zb6)|x}Y8~0k z%d-PZvsZ}A_t#x~5K>wd)@|7-)7_=B-AzOXU&KJVky>}Q$yE1+#LnJ~F*;?bnW+mS zDX;{YwO5=fo3+=TQh-Y;342X0!`UCSJoDvvp!Q4!SYB(2jWPRIe8Z&<3;KskWkZ0K z4G9Dr4C^^pLug!JN?-B}Z2iO0-VjM{;9A;%c!LJHyQWOIFGqVjCEo_x#7B199A0|Z zj~Tl*tC}n2xci%#ewU57R>kf)!4<;oF?z2-$u{eg#v*}*ku_hN?x80hIpUm4VNVAn zTdia6i>MVwrhIMEKu?%C;_ORd&juvhtz(QuS_&g4zc$&SCt!{^w^G=X0n`_3!}}tT z!pN|%O)BUKV@I5ADa>mC)n;vIERtOq+5NT20N?#Mz+=PcCj;(a{-GEz_wY0eiYhGkv zga5`yweuEZ=m?Qe*7Y&}@L2A-l#k4Qf=5d}PI2uNzq>^9Ea2$6<|UC6Wr^|30SNIp zX8*yuFaC6lB zyzGXqb3j~(N`^B_fvES>tT35&s8iM+ckP4oHxAD09qiKf7)}3JV*alDxMk{|8Xb?r z=^vkW-o7XFUSCKw?ReC!gQ{tJQnWp;5gpg63VpqGuu#(@v-8`M`9nn^(Y)hP zS_ccYJn}L=igkW#$#{ZNow;Oq%;6NoOZuT5O&2@OY_S?Q|ZfLoWf zw24S;Q6%JR6BBwu#Sv#*y6Q9Fre*y_VX(2J)@#jMaPibS*XyEKA(09z#E3SProb4l z4O>nAClyYAkt?H-$=I96hxq+%Vm=pL9@S6IL7jA-b?s}lkT)O}WWE`1fs8lOXLMx^ zNlI(qgg?KwH|Xx+NQN^ZT*91JFVaOaDyr7c%O~$?n`a=t>d5O(?5y|ow{_3aHVWc? zn>}suTxebE?lmK|x6{mp$u_-BMROBGcDh~;v-aC}^F6zy8 zN|zM$DsiP7oXc{oe&2g!)Le&O-RiZnmcFwl+Vom{Z)=2XL86eCxst{H&Va7`n+4+m zb>_2&3VL%F`7vySSN-o1tP;Y=6MNHeDnOlQgD(Uj2BNOjCl zzW(E4j2VUf=X9+M613L}r_CE$kcQ}c`WbM`gsofqWo*5VL!F^%ngw1;;D!wUyoj%s z{(Sh`LP7Nm_|=l$nq(}xjes|kjQM=nO!D<-4KvB;&lk)jBR|`jNydJrHgog7Sbp#L z5Rbnr(Is0diey>%U`#tPRv>G0;O<+lPc3%{umcs3hndciZU~_YP7J%=A&4D-1`acw zCEXR0Jj5lv{ZW2U)r~odAS{ZtdN|Vetx9S5pO2#I=Qh5FhZwoa1ytSiqX?$IJ&r~Z z{(6MZRB>=PWo@(!K{NI^<$Rp6U4UB^887W*T|a9-?v%MfJ6_QF?Y;TCtmAL91Bwy$ z1yTq((YDKC`=|hP-6ET`=@z_qoSwg;I^?B#^}IB(NSf#sxFP5# z9JtY@+4LFg;Gk0-h>v_hY?cC{L0b;qkape~S{O$a^BN7u^&(?_F+Ya~7eIwk9iwu3Dz z<|=gXH3D^E-PweQZC)CU79v8V(*vABSuy<3L0xcfaDhBr&@dcB#4Rwy5g~($vVdbj^jMm+i3ACh<8Rk zQ^6l=p$slx-SZ=jn4#8Z7nzw4?%~)ROB^CjIY|>i=){k%migB&lYGgWkBZ|i8=d5h zX`-#v<^%G^aB6z<{_XbLV^Hvo4&R{gB_nB~yEM^;bv-a}qgyF(N+(eXzq~6jH&Usu z>E7H#j$?NF9*)4g)&VMxz@pZPkF|#_^Nr6g%0qjD+?MXU&uPrvR$Hc$Zu-!Uo;ZdG zH#rXeXg{~3MR?&vDJ*M1vc)>aMg;OsB8=KK{s~i0lP`LL(-C*06!v-m)o*QhMDMMu9urFSzsdkmmjtq1S7kH^6BhOh$CE*XJ?6NyD@Q!LUhDS?CI ziB5g{2JeYr95$p`%Z8L#7h0*{OKhz0uS%@@5)}>YheutBtcwX)E1U+O7^K9&BFw2& zK1}D_{37pX1HxoUUr?hkX@;9Jk5DJO9VL1a5h;RS*6AKW4aQ3Z+7l6>OwlDH;uH z74w}?FzLi4IHmNWv5WzH%7sE7=0o-bH1=dlvRuBr&OA zm)RMkqPdkEzr*lmLht#I^umSIf8y?F^MTlaZ-17sVuyukFhAAEQ1Tmk`CY3h*b~NcNqr;hV%Lrc45A ziX;eY4G4g>t{N;RP>W9}Om4D{D@aHH!>P{KQ9#AeK7LZceHzwU2Ha}eikhl)F|@~o zqNWl!f!|-_5;!LnHnFWS8{R8S*K8tVb?acQEPACViPI%0pGSX>?L!7JSCdL4htKTI>N{U?KOcJ0IQCU~X#;fWng3Wvg2B@~ffu zwjoI3OsS;7-AUACgzZ%wR|85?$7yIxN=6w)>8kgO9{S*aJ|4(qS?etZ$-P4!?;zi> zY?0@VljVRc`S5ut)0OfDUmzp6L)p&qVs3a=rK0rd*%KGD6l(qIOPPE<74goh{&P9c zzt#ricNf1DfKVxQnnFAhYe?}an{1*H1Hwh`UU?*r>i3n69!7)wJ&wftfgj*Ob9R}*wKbE@(#C*NpcA8yU#*3)oi1QBmZ zFugXc%aF+<>6;)_5c+-zyftZG`{!a8>*Al&+L_+{bRuHx%W3a3;`Q-$KD5`wNgUF~ z7KS&?+o)e&>4|4zyTBu{R4E%|c=>9*V%c8sS}K$JgSNa6Z%oXtK;$_cMe3AY7=xE& zmG4fjh8$SYz1lV#{?eWx;<|@JjW?8&1D31#`I#F1PGPt7;6a)^*H)zb$&*T_7r)5M zCs66h%Ch@5?7Je=+u%8tm*Epbs@d$o)vI2f@9OOv5NPew@^dZqd1M1b6q+}53Volq zvu|IZODXVp5pexB!*|&cWK^3JkVala<(oeKg6sHpTK-SHR-dV5&p$ryrP`~QFZ_vy zZcKB)E+~kpY|eI^Yt%(_2e0rLCYJ39STw+Fqh%tx(hxo*6eqbYOkG^oqiE1nYR~n zj%N+Wj;ecO`Gwj&tnPWkkyv{d-#R-Vi9(%=Z21!w;e22Ke0>ykb~!EUvMI<5KZwAL{F>0`AIJgkV$+jr3HO0VR@8O>uh@ zS~!r3fpJbQ2TXQ7D_1+U4EG}0=jusF&--O4#U2wv>}p-5m!DOlBY!W-#?hJc%M#F! zx=)DgmmaF#Ha)!iOrLyNRx^ELvbIrV`uSXl_gn+pnr&_Q39_kjdrswYWteoy{4X#5 zWj?uLMAH){haZ1IHh0`Jl08(u)avl-i$9O=yGm@EojKt$ zP}bQ!kU_z1*DeZs3^XNLu$N}khuIrk6N`sgK}eSjU+&YbxlQQodBo^4LaoS4pkI_-kN}o*5=lI&*iTx-&*lhDRos(?!)ZP$wp} zIQ*xV`W|_Us#WN8jz}8wU2NvFjWS6r^TYY9i#7*!zgkFaxIL!ONz3o?lF2((vpzD6 zVK^n^W37tsi|K=khT0u+WnoOi9QPd?>E`Or$-U#M=}>#wb58C(SIvRpm=k%&=0g^a zC=ibJ+#U<@au1ku-aOH>Z$m8iu+(th(L?1QYcqV{hp{2vFbDd(()vLYZiUHoODq_f zp?xQpqNikr6sd{(*OMN+T3!z2oOHc5EH-38-Lh(^qa6e_qdgA@80MnDy(cEVk~mFV^(E zw@%Q$omo2VR1uHV_+QJNVCQ%qaZ6gy+ z<88142>S3f?1d);Za?rB)_%f@47;H6r4sQ;xJe;VJdc;-AD7?tx!m)#+jQdWcYObH zPx5;TUrOp0dV|8eEj&B_R`IBbXQk+$Cq7RPMZ$&(3pFe)qAK@B%l;87yBUKkk6IZ= zwLBBUG{9m5YzM<|(#+#18?Q0AMCb*KtHv;FD3ZEmZSaQk;7V5|uDs|-%t~2V>Lgrt z1ZAVtjZzDcr~-x*rtc-Ld~#6eE)T>qqQLpwXeZqP|JBioLm(TppH+AJ?mY90G~Aa6OB^DLh8^sox6!OHj1{fo!R z5qSdvBmx_f(t{5qQs{I@Z{_<6Q_n|=+h|&Q;l6uDcc&OeqNuaGm_o;u0mo%f;5hPavpc(Cl-!nW96nj(^=MM0Qj~+?^S*Cq zRW}D4*BtYs?<$QlC{8i#ATSVD$S{XY!a*$tJZ~S2E6=bWOi5f9#<&_SlPPe0ivnpq z$rMP-=o+;G$ZimD94z+4g)z8oBikf=60?R<+dNp#Sp}bT?Ju$u8!e|ja&iU!e&Ica z!>b0)Dj+$(c60dAP>nD5{A_1pd9*>IwAch4FqTtoCQmBBd0}3h@RgpB%fw_I+cqZ| z3lmqF&WE-pvo9n7+w_Q!HFvQ&719*v9XaY$D0?WHJVt(b)uA>Tkd`IO_YY%^CQLwM2Cp^Iyqr@(qLwE;gNaN0n&XmXa8?H2$VV zQEXa&-<8<)2kqm&L}_*Bj+MH~#B#MGCzs(R3#ph3xq8fq!khdvdA>8D%XKo|q02q8 zqH`B)h1AxXVK>>)`mGfyqX}K}_=NQ7v3cNWkO)d0Y6pN%tyyfH_|DE-dz~)PhufF^ z^6Ko1QV^N}@$V<>2pY%)gr1L)&McqTphPM};SKs{W=ANBS3c&Iwow=*dE{9zexOLe zr__eS=Yp(y)E@yOOPHp748khqz0ogY1V5e+NdaTT%t#fCk@oT^d=GaDoR0(TYMB#d zHZ-|kZB5$9xp&2@vb`L_7=wqWE#zYwsz=OXZ)q0gtI>#8<*P9sN`cE}O_&WmGdbN> zeq>!=T+j+_4atm9-SYB3{5d+WeL11DQ>pt`FaIMfJp(fi4ytQ{7RwI0T8ckZ*-zfy z=Lg?+h0vzd{SVdlgY2hOz!2$mf@NekP@>MF+soIAh$Ze6+}7f<+0f43g!fbz696q$ z@B79LXzA+O$*+z+RKluvJXP5qtHrywkX>!tYw{@y_+Cv(4BcQB>;Ehg4^JXK;Uwy; zmFw|yqJ!=UZ*~GEIr#=|#D|weGgnsh)|kceo2j)+lM>-N{3c&lbiBObMi9xlHO1jO zLn73)Yvo$bUsup~bKa?Cr|EZb)tu1lN|{AMt6i%*rKd~REe>57np0LKp6uxDkxn%i zEzaA{E`W~q`$>SD(M--6KRIU`Ns&+ZaNmTSGp1c1W@J<8P@-_S;I!Zm`Cm{pAl_i& zGs{ovQ7{gV-)LKT2UzHi|Iy~X)em=wK5MTK2`cw4iXIvE*EkxlvG<$C)R0|USWtP? zQqNg37qzRCxyS@?QKsJ;n-$wL@F5<_={4)&D?=;$)rjRr&TT6ml|kjKjJ{m(($X{J zq;UpbI(ubkJ_Fvil1ba~N_zDgijO!nTY7itLuhkhl$j%$?0-7m#iS2ss6CMyhSt5G zt56^1EE(tWx&blg;L0#Xudwk}pt%FQ)iSpZ}~inosP@;L~XOaYEcJgX>vYZSPBb5@vekX8*ntIFR(n!Rm_Mz`gcP zE46DH&XWFi;yqPmQL2XM_PW87$w3Mss*GaM{SEfHm)``H#U}+-BVMb{r0NYJqE#8! zVB)%S-qZJ2hEBe9Z;#zYYude2P zF~T0Nd6oN~PNjb2VrYJ~nV^|3^~d|eAMX!&)Uh5};}7r8VPX{(lnkG9JzL!H^oqpD z+1xtWdh6BuYz{?!xi2d9Rdp|q3uMf}R9D+8ZR=x9f}wn}ifKxr$XuOG^hetm(S!pP zQle2e@76zjQY(_Li5Em%EE@6NIDnU^&To1Jybs3uZBMVA8oE@cAnBVt0`j4ItjBpC;p0mm+BhcmlRf7CV~cHBzIZ|CZp=avy7z zw6?@z&l8mwcgDKqsrER3s<>?PQ@kKGZ#sW!t?qRW>Na%&@Z-&YSxKe&seL}HiHvw} zVBRSfbdBzd0DK<*)DbTenOBxmfqNn>O&Q+QeGWM-qQdkPkAUU}@&D$!B-@>Q!^w#FPsLtn<%6eeToGR<%8eHE1_ zN9AC4z17gGyPy~LB8Yr~1emNV6}N^z%@;PO$27$|?Q2=$KFL$1+NIH4=SrYCYp6H% z2XM%*M(Y$Rb*#z@Xcss z)n^szyQ4|FbFghszdD=ASfcW)t7fEv?ph@k7Yt?Vts$(@860C>h(NtH!%?e~#RV;y zWdXJp?m{-`@perWOZ2jxbX(8L+YF4Z(omjbxk;H@%kfpi8M_B%sje8^$wA)|{2-kK zex8+^uU7)k7n*c$)4Ngv@6MkfV!p(P?ihd66!>ac93JqtJ54TZe2iv5$+ah5Z9@=? z%}EPc!{&5ysHIonPR6#qOq-Cv$gD=M#F3g^uOlXoi4D4!8C#phcI9hCLSh z7Gzef2yrA~w=aBF(@R-(q0OQCn-_a#UUGHM`m2f8YUT~b-Lko!wMBN&>9~s+;gjppfszD z!|K<-T_c{_UXv+Kj=QDtg>RtHrF*QfU|bk_3*X^VmSbg{uKV*|fLQy$KW4EyqQF_` z-KBD%{wJ-%`gr||G6m9b-VHHbh6bSK|@sRR26aUxeq72q*1$@;M|k#3lCCfbX~!oCza}K7O_Ist__1t zN+r|~-$&k*CTw7H-34dBi*R){LD%KLusT?;wBZ>p8qB#@9Gr(6-@T&YN#?i7zHwDw zqbM-!%9E1u*JPeE!Q;_24FQ5gsa1$eSSvw!!@1{zPivt_Vy)(A6F1H3FF%LHUNk4! zo{B~e`W=D@ppJ1G>N#IfM&&O&2zE9bZsNwaG5S7UimBUMtL?&xIWLQi?ODX;8gj2Z zaS5EsyFWZ?{O5FAK}W#Sp`T&-*^TDlBQm?+qcd{;xbK8V7Pe2VF^YcxjQy)oc#|r1<*MW3!nWp*Eq2)#2`^nW zKl(G2PTo-qmwCiuc1?ES@C!rII}cVVot1BsFdY}HZJ;?8TkU;JK;=E*asJ8Sk)3TW z2i8WGZw+{aO%_4X_tUZYcC~5}-lXrl=PA+6NimM%^ipV$ZBh&XBJx3`VjMxp+64{u zEIz74yR?k8H)}_Dgq=VU&Qd{y8$YVh7}_o*B%VOMef%wH&3jYNBaG<1S^r5g3n~4_ z&C6fERoKt(^V)1H-sERoJ$sw&k^It=53+anxexh!o@29lDYsGoY)#r`dSm#5!eZ$7 z>cemDOff|ywP9GprgF6<0lAR?^Oclt)*s8MLn#F0d!iIV!*GnOXyd`fJpJxP#?^PH z*6pPEFrS`6xb+bJL?FgUr+0I^zuFNDz4B*$?3+K9=OGCM;~A=B{!pX%%e|N8BiH{} zR?~gFn8JT)Rf92(#6x`@vzNoi2>eUT3aw}!L?-cxVh}pf;Lc>ngqK2w>}zx0UB+H< zZ|Q=MJk6E8uAO>OJC!^B3(a+%z4iNLblJH1emR_U#mmtvIxmIkNalXy^t7MnZ2e|@ z+s*g{Pg#je2HVfMt_=={oH^2a#8LH&;jMh$;Iw3LpI@%7e9z10(0=qE4!^vOpNBOg zG);BhtJ#U}b-!}1{M^ zBb*Gqf6n}d1~OREzGS_#^C9>oXvJR@`i`K#LU)``Gx)kGRqC$@`Vsi}wl}h+mCZaO ze7@RV=Q}ljp0kCu>t=7StINi-#AR&**mqbd2kl4i_YP(+PZt^pdaYN&M3>~MEIM%E z%T;=#0hg1n)1tXfi3c8%4tx^DKEZG<1u5Lfb61t#Ay}g-ioKUR1<7|>p+6^zXXNk; zc4>N^ksz=;#xtS;b_Fll_dnODVlmK=7n`R8#xlbrB~^M%9uL;A3M?I9FHbhLLKvs_ z{Nmm3uFkk>^JZJaCOL;NTaP!Y(dc{mPkQn^XVO_*VNcPx{`8a74~^K zJ}%ilq_)O0?={~1t+19;64r;8c}AG^+NEBnCAt2oUXtS3F153X0qdVR`SNuyY)1l) zdl+}Lmh4+QAJb1{XR9lTDKzpV_}lutm%UL%9eP2*?f8wV6b=QqJvXXM*cIFu!A|6c zJX;<3U(BU#4C-KtfguMwyF;*+XQZ!1`1Uz+n3_HCjvM_zlGyM0dAN4q>q<9}j0!2)_3Wco|lpO7A3S z;zKy`2HB$ELy!bJB_G0$H%N$|MwOwIOn;A%Oz=ILb29z9YIIH$yRd<@SKT6D3Kg_i z76pq@P>z3Q3T6iE;U-gz-95hbB}obtGS!c*H(>iS=vWL)e4-}>vQ zN;jlSnNMZhbSUxZoylF;0g5u4oHE@|d&FGbRe>IAcy-Yl<&nVAnA}M~XawZ)y&fV>$7W*K2eCZQ86KH)rXVqVdXYx2&4srUHyO|Vkwyy7 zC%S2_+x#oyCR0mN-9+~m1#eJF!Bjq!P%t1QR(?td>BJ2mLVTCK+5_`x!%M;QJj3=a zmo6xf9&XFqwm#uoe0??rDW=e&zQr+#QS4bS7_d3jw#mrsOFjhUH^^*RAA&j9$@ma@ z`L589CzK>1ZNIQ3BXeJfDlphOsL``-_{jT+mcG)c3S`J^%DyV}OWym*%%7XG6XbCg zRH^^SoPV)PcH4cNg$@|xBvZ-&$$-5_5g-AR1yaOhG&~9Whi|UOq_WB6Cu)M%wR{)HWCSTuvR*C(3O714Z70L zQbAX`$xT6(4!RNJ@>I06a>$#ImQa8VNqexJDFAI`x4p+|1)I8||5*N=YrYeYR)nP+ zdcOo0GFFfh+|5OuLL^g?2;bQ~CG=1u+mJS8xmM%pMmB?yC^(o+J> zX(R^qYS$yD?p^8uVpYHZ>>Yi$YG?V^t8`4-OE#LTvaP)YkUoF%^k1Y6(kB6=&vKAH zfAjti1_$Z09Hh^~RS-tX(kESoCWTZ_vZZ8X4Zk>4m7RZvoIde867H+*;{xe(--yok z^m(`{>eR$8kUq(N4zPU+(kGqoy12}()rzoH1Gy`7#@Ae9PtEH!gS>H>ZpdR7whZjN zcVX8r(?RLDkWZ?3MzXSa5>7ZFf#Krw-lFcO0B;M7tO$b{ryz~~8x*cXDM@5gL=ujw z^cCuq#7p!I12!^qI;OFst?V)PfJWtX%##N`gv>5n?NzkopRKUq1=xWxviJs!a)(Ub zsertJf>9JxxW&dZ5-@(19*ShZ%3Y?1`Y~WRz|IFyq(6iD-NlyVAEyw^sfDuh`2x@BmE=dSyhwaR|_mKC~3leW*0 zu?k3nm?tt;2}#)Pi8PW&5|Tva=Q?**MH}pt2dhVbvHZuQ$&yPX&Rapai^}5q@lS30sOz_$D32C`avlxJ`98zz)~+(e=-uOmOu@fA z0p&cJT+Xv@yrl$q@9Yo+9A$x99Uh`6anSHUNeUKOK&{+@oT^_AewYQ+3hRc!c1ef` z$9;-o?L9l`Ee7pSZ>F_Fm?lQCW_gkxo?U!%S8Qh$gyRxv|Bk9`_DiJWJE|&V9}|`p zcFLEgTJK9ZVQ;!GLBNwkoqIJBe+~-hcHkdRaKDC{tuFnLq0CmnUPn3f)vq2;602V`8O>cs%;H8XI*f!)S z%a`Y?+%22PiUhq|z9;5TGf*TKs*JWP5)-7DT;nmINS=jXkY^b`aLxoMl4B$FwREid z{3g!`2k#??3o1$UG1CXg3Y%?}WHa5ChnXkH9u}`ZcDI?%>SF%^EMF%rq_yH1q4O@! zv4j(OtJ!Sz=T=V1k@RvVkB!i62+23o8DDXYU>jkTL){hQsKT(xlBC(ISWn54*uV}v zn^oYDFH0ul-VWeCi(}&i?ugDBX@Sb*;wDSgYHRh({TS2ksM;fg+vQOuJb?Sv(Mg8p`4^?!DO+F$?0+O^vh#@t8ekI^n%JxV>S> zIza;LBHXxorGoQE8w0ZnO30W{Dqr;5Ov6BD^POwfs*qd?EaUhLCM)i zE;*s3K#D$a^XjlWMO^F%f|Ef>a2{S>9p1EPS_a%QyIZ~(aLe+6i?~ml*4r@;XgeGy z$Gqif@%q(aEpaG6ewOrbd{cO$VnAngSej(jSQ>ia5@|Q!aJk0>m0d{pz(24$d+9dW zXy|r6m#Z=`kRvx}fw4^YsQaWBGHvKHf8P{uH2eHl0~Pv7@YjefSz`=X_y0mme8Ywk zp1m{4fTdrju&oj}S46=a30cFauNVHaui|FEJ&FF0eU(QwpslhAM0xjRdUsIl$G%|S zVB{IW9Op>@y_Eh|A%$SDHd&!xU5(xe__nFVIvGhlO77QQtteOpCH-$bSy0lif|4Eq zO8V{d4S!EQfRY{oO8OLJAexfTOm4g0s-SS@VRVfW?gEV!H`(7BE7CdtYhwlUuyii_ zIDaI28Pq&0m+3cm^Ng?pFZ11~f(rj@S?<10ByvTV6g8=l@qA_d|31YdT|7iVo0^Pg z9!l}7Mp10ZcsBc8lP~@I8q+XIL!Jd)#4}RAnc29;uh6ek5mF4zwG)%8a+gCAFnj4Y zJ?XGeI`$1N*{V$+Ine#S1iIgs$ldQt{kpVtPV?%I!wF3E*^8^gXy~h2S}tu{1i=qs z(FeNV+jTaX%ab1Ufi5^6bisdD>fgB>biwhU3*Jl{+haq~JE#{8+l{}$vSpEE(moF!+> z?Hc?qK@KwJEIDJ!xgjW{gkvMrL`o>Zv6;^3DX^ z0JeI2*-4g#cF({J8{5PIFvCVx=Ki+N-k(2TRm0funoZjF+p&?vJuz(3F2J})HXMO5 zy2sZ9X{$bPUS4d9?t;AT(d` zk=)n;_0as3W9%E}s`x@L$hwg}a8z*}kd$>BAsYvQu?UQm1B||O%Mm4l*NR!AVgVACcU#Mqr?W7E3avq%J}+sfYef z>K6Z=)U(@G@8lSP5@@sN9Mtt);NmAZp)cG?z~nI@>IoIHg~j76>jA{H=fIS0PxmBf^Ug`$!cIzUO}$ZZ%CJv$&O$6fwZ&r0#M z1EP|&khfh_k~>_We-8TT6Qt`(V$jCbcz;{O`$LPLKGp{Lj31yII=}D-HghK}-Hov67#tIIW$^FtP|E|)(GCBAWPCfE6x!>Br zKN{~LXY|R-^Dx{5E9xb2k==iPGYxIw{*S~1>24AQOdC4YS zc(eHc)?jA~-H_D60jw0+kN^gpY(Q^H$_0aZi4D|C;cWG?BL~!LJ0;~vGoxgRX{MW; z)V6&_2tioPg6i~_xr-llQ_`bZP@NJ%b^5!={~3`UBDp%HtLUZFYXuZ}4K(vBW+)Z% zv%d>@|Lytnza->IAAoG9rIC~+eIXmPz^DaAAkF_E0F!G$#5M<1fl7_pf4*r zDGLjNfTk=gxKEfRN^(27X)y4cz9bp`dwuz13eOM7bK41TR%T}e0sCaqJN76r>d3}% zU`&4;0a`z6HU5Mh5*RLs_iN^{tk$qKAZolx4;{TWC6GgyqQWvTi}%}nD44}7^EqTi zp2hoR67{b(J9&ClImn7Uiw9;$D3TZwlipB@lpwjeuTD``VH@{$ANXxH1Nslj`FEZ6 zmIHWo#CuwIW?U8^d#D7Uc00iFP$)2{fl(m}3>6Qe%{|6L-pJCDXJm=<3|TtEHj*W^ zpI)QFU$?LoByG~>T$!1lgHG8*ky^A67#r9u)OlwJ$@f=-+OT2!MoQc7g|0y?yw*=^8ROkC4|5ez78Vy} zb$K~ZXUDghd*VHV1V&a06*+>F&i{48t+TJ2`CV7%lr5Dx zx&4OU&sNT$?>(GV$BuCCn6FcQuyW!hScT)*a>djoIOU$F4=;W1!Nq@Eax0LUdj4OwVr)WTj3f9*$`T#bw9l7LBIjZb6}s+G z_9cwA!tZnT)zG68Jy*_s;lG=QY+~;Bq}0@{vpvjM&Nt^qYyXQBz~?1PFVKxCsCWTt9JKC$#<-SbukI z$mhk3)Efxku$D9K1d-D`}tMat>Z`Y@zW(IT5aq<|^d0>a&Gcs;8u?iR>3cwYYk9rYwUz+5kN z{clH~+M>1eGRwdq2UjSfY>=zsy(=glD6G=&C>$u)HWs=Q4FTG-Cy}U_LwJ`x%I<{7 zZD=Rm!Fe~a_8OD7Gg@0V*hYS%o$S+TR86@=!b|R}!ZZe5LRrM@8gZJuatdJsjFrjK zee$lUW_#4FON2d4sqT20j)hB9b#GF=mXpLp;nbo_>u9h=`iY$Y?la)O2Y^3%g>dT_ zUC%0yy9E#VJOkhk2XF@!#BWDsiiy0L+GM!XV4d_6>FaMC+s>Y;7Zp=e55*6h3fxZA z-x)utb1!-ymqgW&jlUwdit%;^if=m_pP=mN=pnhCsDC60ZH|1ds5v^;eoEo)P56@9 z7ZlQn7Gp0;@f?VG(#E!N$DUQU4*y7gje8h=;G*}}6L;;9jy>e9OXrr|d1B;&XjQ|5 zly8G~K0Nv#P-7F)qloEs^(tVIJkBRoM zZ5UI^n|m1A&AbYpO^J!16yY9LX=7^B9E%V_m=(c|G3lIHu6cAK)n>o4wU=j4jSt(4gtkPD}6ed_G%96rU z0p(2plX9H56aL1#)lU<&=v?x4Iep6p+olEYuqah`0uHw5T@lXZPG}$-5y1E$x%$bd z#c9Pm?CP>N;CSLE6Uj9M!NjZ4l z^bSKH9m#C}&!Zp>C}$Lqr6bgB!i_@d= zv6^y@`uQR3NZKLw`BKH_&W{A?A%<7jyQKYf4HH4G9&(VerdNw`pP9Q>h}3CPY5*Mc zQ?vgqU;M`b2ax~={U&|Bi+L?Qfr>2|Z8t$7;p6`hcF5~^OgIYd9DGq z^E`J-P2%#A-G1{Nd_B?urmUQ(z$XvrBSE3mDoEa{XOoMj)~pDHemY<0;pu#&n%GPJ z5e>D|zJ&b4b?k1B+05v2!Fw{tw z_qR$&>v%(Ug7Rts^Vn~A|6aUibjM3&v~&*ubwV9Bu?9^9R4-tQace;&`-JLlwhIPYG2z3W~3pk8{Lf&R+<5E8mWmpJ4aHN$8u1xYE+ zyw(0cGW{EICrP#&DTQ}~()33W|1VkxrRf?dP1pQ7b{lnYY2z*jY|Sq%X17s?dDOq} z08yWogZ02Tt{|M_HJ!E{Wjvzsg1JDHP);zf=p@N7d#lKhufI^ z8+|T#9H$;$c4qZoyYYqYO|PTP{$_?x2b-(UIQv7~5pzt9eGmOM3OCJHLB<3g|BpgE zK4a_jhg%f01-wN6m$N{`t0c8iGNz)(_HNUj--hpQ35a1aXqN~W8ls#Xa=5?hf`fnk328rb3}ydbcc-sA?c|?F zM_xKi;uucJ@*80qiy1Rk1loF#2@#bt$JDXV!PIr4_PLIe|HeIk4Y}xpi}5%7MIT=( zVmr7vcEdmI(ItuLfVb=PydBGv^lH*na=_;|91ue5bc1> z-2s^s%A9vkEAzYL22chJNbIf*$UlYN=)ai;9GDJ=%SIXp+<^lF^BDM{Nc!)B{PafI z^dMuJAIw9FIAk@*XpHlN72W>;10&N6G5VcQrW#xfDD|IRaAWZ=24ndT@c!9g1ZE?!8R;|p*3^u=GBO8wTZ#!GBJg|6O{|dn!C>N)=Q15yU%ha3W3?qvCsw~{~e#^5H&O3M@D|I{d7-hH4%c7JKg zE-$sp^v?_G1}SqUhA$JO%(-I%BE#%IFbHKOLOKvI2-pJ#;XQ5v8na}jUZRGnf>@x- z4Qy>uj%V{^m_OvvWg^eXVLu*b7~PW%=BwqLahGQ(w)ibCf%V&Q7m5Vf{n=AaG6|uX z7pn|~n?h=MJ!w?V@121VtM?g_;oxi_nQE3p_9mH*B?0VaC5juoY-L~m5=7TKf) z)m?@T{M?w`7L}unc=4&#`@b%`1y@fC-bpiyUGX#K?o-yu^yF$*P;r4!QTV!(_!_>m zH} zb;~RNZ+fu)gsrb)ku_3|dpKc`2}bX?aqMh))DRQwkl#kZd9F9-S|$AiWZ-e&U*x&$ zCqP+#ATP{2__?%i@@v>+DiYg0vbTT=`MH7tD#Vjj`vXOVP}U;;O??yJHbqe(Cs6LY zRUwMRhFy=4OUEuReaSWKtlSrqeBZW_x#0Y-Gi!8V42$kR*nt!SJW3JRfnX2pKuSMp zRj%C77wvigO~2UQRb~gA@FnoOs^@hd`sdZ_IQv^|SozN|ja^NWyG%S&&L6Grv*9XG zZWzmi@VQh(e{k{84gb9P4@|3(?~Z|jzMJk%3?Iq)vxeLnX<;5JvOScT1#EB>`k&h1 zXtCW11Ry3!4|h9^FkUfB(n+T6iX+h17ykHycF zb|bLVMp~%a$&?&w|9A|)si3V*s5FR@2;SdUkyv|khrl`hW$a5P&{vIQWKQ2 zzmfJ5cCLl=5P5}ARu94@&rl0FKA3RE*h6mLaK{NI{2s53>$K(aptsL!V^)AE`tlQ( z#amAV*5PrNi_T*F+0#xkTVX4O)0%grhGad-R^Lpj;WxS-=f3LTvIfd937o)&ap8uyI z#z*eDEx7X%zL!As>1B=>uID!Rs#R{xGQUzkNA{q*|bK zZUVe_g~(L$Fjp`@nI;PV@EXw0i$22mfYW1Op%U}+OG4k?%>oORn_!{Rnwh+7hUO*N z?J`v0eX%dQWoWSOqC5+-C9;vZ>-?|Q>vUmki=sbdOUfH5QA*i$lznT`1Llxy&`7lN z+L98)Aup89z1J}9?=Jc3s8UYgVV?K9OgwOMSmOjUdjFG+WdUZ~Z5|^@ZJyfm9Rf_E zwZP~WI#6C~eEHlGX@T2$g0zrKPZlZX#~%HME;lCT=&sj?$I5rN@CdR+BLD8*gh{P| zD9o0>_{rxslKx@I3=nqurXp@k+r|r%OjxNO0u9{l3|a7E zA!T1|xzo2~u#?~#HqH7n$%F<%TmEeMK-mceTE7h@u3^a9L;s~4U%)F9jzJ7J84h#5 zd3JA(2||8v%1N*aP|kqa)_cw%j7M8^lz7l zEJud1ubIeueKtlF1j|(keMjCXe35(%>iVdQtq$en;LU4QU>OZdwwPmVlp~K`Au|jw zt3UmRp7#WH*CbOixOeP!O=kl$EOX^!_kyy00;!Nhpg| z)0`28V3uW+zQX*Fspng0`11ZS*T+jm7hU^fiIt~=5Xo=E?h@KP%45&ny>a}EK*-TB zW5f8xoE*N%qmNliq#=AphWe+gXlw6LkDc*eI(ktkEd|>G>pUQ)a0 z`YzVJBzG&mt)o3y-Ua@dFt%B=*j=kBi?|UZBhbhhHbGyTmeR7(efl6@E}~wUu9k2A z81v&L|BIVB+8oA)^1lb4aaqtEy;p7}q&jx=qU+Pxb60L0=QAJ60Z(U@bm-1IB-Z0y z>^ZF)F@ge(M;seFbnw|*-Q9LHm>^fYI97)8Q-Yg(f4Xmft}|F4xg(s zVr8Y=a)z|3vucc}>O<3GwX5orG5KFeUp^Q14Y|BrwZ%X1lzy?=Wzud|pN!Mj#imx? z)_>4$#+udtYgVcPyuL7#UTWGceqE~ywLfaL>zHl3nOUqp`U>{*mIUE`d)n7feY6hv zkmXL+^-7r)VVRa+s8y(0P1-uyF_sJcX*=DqnmgR?Jm}!IJS~sWU9ZWgv*`m{TSkwK zc4m)F8`$7kh+peTz5SAehOy->zohPop2qo5EA&O|ZNedU=ULt+cy1sG*`qLkx`}lp z=(NmkwUxEWJtZ{Q823gw4HS4>LyUV)EWPZX0e^!k;r^g;J3kfL21`e*qkL$_d3A8# zDxD7Ba3-Vd7D`fFT*xT0!!L(3s$F1DX?p3|3ZR4tmP z29Gq~=B|n>kPB9JaN-KdHC${@OJ+mJTTG6o)g@3at_Wip738nhQh%24ipSa3C&NML z;Lnqz(}e^3`jcMXtYmyBULlVy{c`3lgF`oR*>+B~`gZ3;dGLYTv$7BFE%Ux zd1uyrn-D0Q@JcXYTVHuNEFt~INB!!?N25X!>Ls$S#d1dKq;OCBrL-x=h8p7zetxtk zo{gV8MH-Ud>{wB*tHI}LsFR#1-%6Ta> zMSiC}oFVTj`&8bwVERQUz*2KfT@qJxQ4wENR}FT1UWFgMlzbhzgs8ST^QuUgHTUer z+=>I4-#`?;mSUB&mU8IgpHMSCb@q6Do87r?+X_R#u|>0Q!IR%pFg@$~Bf%Cp2tAE9 zym{36To9vlOeM{qXqJw(vd|_$Fq#-;Z?#ADq-uQ5FQ!&|YTNgQrR@6`tzNv->1pq? zr}iLK80&rkA-bmX#yZAkxn-mBKe3$VCo3z;oeY;)t`^XjRftP@^ z|3#@gLyde3ur2cUx!X`~GjC$Kl0w2e8RFZ`c33k>;Av-x6l5nue7jkPj)jNK?A7`j z8GeMbxjHJ}8X#wr0-=tik+IQ%ZUBW`(%>s%4*&+@Ysc{DKr_sPpWHw)m)&$;t*OD| z0EEs12+hv|2pIzi5x_TLP2jqZC|!Qhfq8YKT&Hg>FOpx>&yHWzncHE>zEDce)ipdW z6yrR4R(?|hZeX_*(sQ35t!NuKG-{fd{x9SJ`bo}4z>{(iaN0ir^D=3~MN;<<9zqKB zZ-uuF+wg-B%P$F*)zoR88KZWsJ+SnRfuarX%=89)`ks&h=Rp1dlG%H)b{qNYU_c`c z59#shpHA5)VECPWgys{W?SOx150=e^3enxr`A$D`o0(G>9b+ANTX11`BWJ|bh}!Sj z7{nTNFT7Gl=jPE2Pb-D**%-unCv$c`SK%kmc|3KoF-_$9gLptvPASSQ)N{9SI`O%6 zF7!L`fTTRP*-g2V;m(~x8#yVM`<@&rslWSlP+H1=O8tQ4ig(9yqJNX+EGcAzQ*>A= zGj&*^AZg%LkrB5jKlwG_5XNms{s_%Z>Opol?+4|SxwnHwV z9l#hi#x=(Ep5rHzdf6xtxU-YAczTAZyV&^kECvd7tOg31TJ6sLfE`K>o7E{=6-uh; zQ8$p=WE|D<4aLOY{TFyZ`jXH4)LFpl^Jh`_2ZkDN+)3_1zHF&Mi|sOZp#}ba?kd~W zsG?8)%~1upD`aaLSg0~2@x{EAnsPu_ENDZl8j*eL{xD)b^a=Sc_<-4h zUoY~&N4a!Kqh3y1w^dDNUQI(Yhe0kf|&CjJvGfAy8K z7o|TP$YfSeZ%KDbX-{`L@pZ^WvZD-WA3vad7=iXV9<1Dxa(h}=r!FTtdu0v+bk98W zN8Ph->64-RA9YV6&^^v%7u!=N-uDwFSz@hEUFcGKlo|O;N>KtJ1P!MX<_B>ase%{u zwH{@@`^EJ7p2CT`4?rX61C8KJzN~2SZ>o`7 zZtEHof4>e;ohLnj2N?A zX9WHbY_6|o{u7~%dcGgUZj|=Y*=(LPrr^4swn8)7=RBxOSivnAPcs^o%-`;BhTYzS zmv~GvKemBbsVPNgY3wI8D1Z;E-~$ePkoOc{07m-@McR(9xgM znIJ#87$mFt^_m)TH<{J))Ty(wn~)V&ezYl|C2f$p>o{wFvg2)hjY&%Zu1!wPNQ62m`0w6{qP_v4)i7edNhwg*xj7ZRA_@Un zZQKTV6^c&<@Yq6$sFT_#_(_`t_`&1BF@69-7*OG4eE_CL@O5-EkXUs9NXD!G_d?Ei z6W3CMJ?9=8P3-tb=y|?7^wjSXJ!mrp1VzLD*+Ba5=`fm@w=3=tup^D_WF=vZ*91AGHN3D9E$&@&IH2t5GMV*)-X z{tfmaFUIA{Z(;{WA-EcK60SgmBBwvG@mK%L3`lP&0eY&$>BRd#)o|avq@ENPpr-=! zqO%>B26pEokx{lHCQt4sW`8%4K>queo)Vw~dg{B01oGdX^i(MM;@<}l<8Jg+DEa2! z99EEKal5(`p8x+#^84RvIWnc(uL48^1C9W>0H8?}ph?5%BtLqRS9UW&80oaMv^_U! z3Q{Dc{q-(Ll}EwWafV+s!4Q}Ly0X9xP!7%t#gAjd)lpfnk=6cxQpiCjc2&p?V0LK) z%r{QZ1N-R*$0b8$g!4Z-F7ct{YYJB=9$zrUe*9+5>i|zaxj46w>4D{X&5r%}&6;2L zuvt}2N=xC+1234@PXtl&(4Q>_iU;`LEQhRJSq|u!ziBy0Q7Zqw*Rq>KOP`TTeS@R8 z@lgj*zD;$vHJE`~PMkmO6iH7G7?Y2qi;SfB(fR76EC3YjG-Gv*^W4ZR!LEv`d9kld zd(kZ~?*1^g@aid&Md1BmQNxognXgfh2!|v8gC`wjE*( z_-$UT)R}Lo*f7ZjvmRc7`!q7sN8ZBM7843MNwTT<>L9TqoG|OZ3cF`P552>Efh*|? zT**J`IfwIHA>(eqm0W!YT*)y5;7X|p_}WaKZV5_@VN>coWFITs7a#$|=?Qdf#C?hA4B5F~5$vPk3@ zb7AX;)#=~qKFpG_x(}T?ijS`eqdLiN>^szj1dr>KoH;iG%SD?wkrxMR!<>IXO=wjN2xau2Dxo>(>oEpEqT+3hG0646K}iP~}y_y^Y;>qPu~d!DN)$ zKt6D0X8AYr#ra8cHjkxq8`_>Jr)LVL2zjh zNQYr$GP}O(cm{7z{9&Q0MPt6@_R|Tc(O$`_PTIkzo!V&l!rNj#MkRJ=&!_hr6i2$n ztu9Taw8)@Vew?!%mOSQ#HYU6&-oN_pQFlnWwLB+x>C_788Tc3S4*&o?{Nj@nS zK7|zsc^@GVlVcDd9&k|3;G6~#9`6!!Y4KIgaKZ9?594dj&+SI%{OV7!HSV3a%F1%h za?1I9CoA0WoyWZt)44G7;)!;a7=hWVWJF(+n9~Wwiv#v$YR@9PJyjrX~u7TH>WDz`U;LL_zDtS%EE@+%EBi4ad&F%?v{mV&9;k;z3&$@ z6$Ae})8iD3w7;WvwrXTEvlWJ1N7l)$3pL9@9kwH&3dWmY;9zzNaoNa-w#^fLq54nDS zB$iR+HO40T+22of#v`vCLngvo3|r%o7KG7R@#ZEd3;j-Gla&l-5X=7dWbIHx92eNK z>nJ_z+02|e*6uMwc7hcKVK|cpFY$byrz)tFlwHTk29C|^-Rd5bXHSHeSUv9^!%;eB zXA=rIZRrUjT*3T9e81Xp1b|`uBi_q*+Mhd*r zV1<9hyQ{lrEWPV>cHNyUMGG)&LV2p2mCuoh>&ZE)@ammW0*@5Qlc(zT$krSq`4Nm$ zZMy30@BME;uZJIjdE$$VG&iR-7Ez|N_K#kFd$L?GNMjGXy5qqp{V`mrP0#^cr!mfB zfHGp+fkki?L4$ZW$|M7i-2A8ndgkDX{J;~T?t@NH;I&!J%Ar}!wMC?0*(y@7vDg_G zHd2lhOz2L5*WN6K*P5uS;=+z`XCODpC9y3~NJqD6vJ(1==!=wM06cD$?<1CLj|)R^ zSzz264REbK0ASU#;umcxNPJ84)fahQe;XKm+iUq{gEh7maE?mr8(L? z_KPBp%Y*_u2C#hxAb7}wvrBOl^hHrd2VyuntEtj_dUk1qa!f-Q^g;t$p|G5*vrAtn zovatFP*W~2P!I%0{5Ar!)a#z7DywddvH#H59naO)6Hhmu{m0ZAC@N`zHd@Szx4*&1 zvVU&x|30U79?h@-UMmxvty*lB0-w6n5B)Y!b<_p$7Ai+Y+}i^HeVV>-OUw$JQzE=u z0f1C8yY8|grBUq>w!%=+eH*Xz8~{B(uu-u04TSbSNY`b`FlA95qw!x8Ym+juL;_WC zMNVLdY`_EI)4`LiRlG$4;y?o8zyadGQHTQ$hyzC<4k#cFsHs>&JiPX4upX{x-d6?Z zK|EuQ>D!tb3MJeUd0>_12N*+>(XU=7)o&??Z}rB!ev)6|vyL+Ag5#&ESH&)-`mOxZ zcZ1Qw8~(vB><(sX=oiKFV4U1un7{XX;=9x2>gzDl9Njs?Ws>BVavY4)@ov*3zkApt zA9T$go}V!|pPi(`$e4E=WtV|>+la3qO$}k#UC)Q;t{ok z!I3gQ-I&g23jUV%7UZwW?Fz$o2`{T#?&Zd8=n2ZV1V1{?fA!_zrjdPpT+dJ(ZzTm{ zv-Vj;seFC)T6LD#6UR$%sa`||WWh{{Gnrx0eazUt=P|X7bN-EUSFuf$HnqAz!3b%$ zmJp1ENBC-Oc5yFuamR=BQn&c6Wy!ZZ-s<9>9NFk%Ou== zcD22t%Kmgc!NI#1%Q8xO#j|ea=5PD8k?PR4>!a5&MzIyM9{%~pC%&Xt=3gm|b(HD% zGZTAqNkwxck2k9ml#~N&lzsdtm|-m~D@_3)VU zS&fk^sUqve&hM1NQ~Od{vYZ+mH5cjm{%y7`EPyl}#odIK z7E*3Ti)N;^p{<(hi@XMMuF?~6fh$>E^CG9T7v@D<8Xut3uX5kMyU0K)tBBz)sw3pR zGS4H4RIOm^YTvf6yT&XXH)qV_%J%O$vYn769?#caN$#5smsZDq?Lyq<#9J4!*0$W( zQ_L#Ya`0KWoUo~xbNLT{bR~;}j1^EweGc2X zofB^pma^oBrRT0r44OH=)%a?ro2|=P)rCC+zEj&k8EML3Gfsh%AtRJ4bnlyCHRrHh z9d+9c^`?nikL}>qfu7#CXIMt>VtLrzee^RRdID=ybQP#auRD7bTr)Hzj}R^^QX2Oj zVWID57}Hq^PV9sdRsv=GI-OHGIjt&6Slw~mW*OKNT&FWGdn?j2|D7Zua#h=6T|y|a zWx*MmcAQjE#gQiCDVW{8GRt7JI_4l-JXKd;1h(1_ zZ&wAjuFo63EkTocSGTdkr@#)XIY92Bd=dqnio1;^^Hooxjn#7uCopkt6Bs-0!N$H> zpQTFCw8t{2C!aX+bS<3t&y)UABt)OKG>R0~R&PbrT?y$=&B=pGNpA+cHg&z{P!1zF zl?T<|w6um>S`>;GcfqV0C2DmSj^P}k#zPLWEnnP29p5UiN}PV#KZreVUl|=$*Yfb} z)-@@e7A~CQ72~0IV7T6!yJ_L=N1wik^H7S&;cMu=`O*T@@Kwm;iqaR(P3>)SK1{qN zUx!c8bN=d}a~Ew#57_hRzK~k-^0}f=+Eh(*l%rraRA%Y2BA*^(LM+=sY? z$17wt%C7E*$}H}OhM}JH;X_f+`|!O{c^*eU$jtjaa9xhD%vV`PnLK})*NZ{L_k|3l z7%FVH8s>3T7_O(WFY5NrP8ZpcA3Wz+N3bW_k!?X|o;{Rf{c&JxKE&W{<+h)aWiR@+ znnf>A8~H$ONZHDMkg+}X#Y@`O)vm}+mDr#E>s~~!_*Jka@U`cYD;kA@q7+<_%N*+t zR}4DU%bv%-)~k5;RjBt9S3LH*bE41Y!8|b#w)HkXvl&gTu(YELM>zA+78*Zu+eJB* zo86Kuwu3pZOWZ{+`IPCPt|(jY<61w_S7lh<~PVv=)vd@F4Tqe1e`P5CVJ zI}Olk-_M*cZO=CxISw@($*rUGY=dx8bIEq9;co|Qv0VvyTa6{;?5jbB0q8J2wE%=4g2ma#2(8f2(IB`s~csgCGn{H!?=VK`uAJP~C0Vd!)a zK@^16REw;?4lx^ooy2zWe{vg%h%1N5oT^%=6ail&)YP@+i^AVkZiks#_G-Y@!Orkq zrDvD8)Leq7)<}tmY`k5a-QAH0kb3h$>diM*qv_5ct5~cQS#%$Xpe?ei<0-bQdljrV zLaM-0h9k%s49B4@WtinU+bQFZB6R+od3@VSrilB&`BHMj50cE?2&kcIS7%$OYFQ$2 zCipaci|$5I@XnurcQT9YL0H`gU%m}jZtaF?AtL8A<8v*wJJ+F5M#|yK zTPl`c;c{SL)Bt6UiB2jT76@w_7C=W+z`PhcU@xTc%&_27ayX-K_R=0-9WXs=K}8VG z&tc&D<~5}$02RUaGc=tBu2y59A{aw2DZYTkr00mB(-t zsL12*Ms^p>K+wmbRSQTc_I*^211vzsQ5I~Q28geX2Jd`HGp3ebb)i~{cuU#D*D$k4 zj;*%3)+Q`Yjegs;PLLiR%)(jt$}-Ovs=Vq8jgxEgg^E6xadf3GdaX*=-(>m>Z0gF% zuZ_vo=%yO-Z@%hS^CDOJ?U#%D1yvQdm#&QaqgA_@^PH3uuOkzqHxH6mCBgLAQmtwf zQtbi(N6Gi;=D(I7#xBYYSAvmJM0ahtnp$)=Hcr2{H!>btmK(;-$`3CC25U;=hNTIc zPB@j%KaQ(Kv=a_uJDBl|0q^owG3#+Q@Q4pKaQ3C#7@oXU>Vg#hY8&{S_I4V!?yX-G z4Iw7x)43Y`?JV>*=bM`-O`mk#zs^uu+Z5-l4rS&z;dXlM?S_Vs?n24WGZSAW2()*$ zRP7|JQpOsa$EJ%i>VhcS-Fq0sVkJ~&sS*lNoe6?Ni!Jyll5rPg$xN@hcI#6iY*?<(X_F4D(L&dukuH4n~zE%>s((^%HN zelPn@rx%o`Ysivck*-K^>xyAnsPFX-(CgK}!+e+DHD zkPuWR5Oxo9&&3d)SvGw>df90Z|=#0!T3yp z@k!HHx0nG*qmz;}kRWLwkF|M8BVC^WXjlyf!S60qLLaYGLLKDp25qBB(<=88H2V9) zGz^l41U)y~_&jYBAT=#b-9JpYFzbJnQxOy0l{e>GXb~%gD*6 zC7;Y3%khur{0YT;bJ&1)>th|kukutS!qciBO!&!KEbOh+H4M>#g9#3P$v z?|gsDzGEvB4n8e->MLLii8yP`BX!t^TE-EGjfz|1@3rTfuUqOH)5sr_ zNUy^`Q*a!&#SC1-Xv!3ePPch^eBH3ZBp$`vMg}ZSV}wv&H#j508M)CT;%&n+A_=W` zWz~`^?BDfSW^k z_;QUymc*9HpG@MQC))bS%tS_~$uou;8;CKL=#X$@GS6mQ$h3m|@`vM;v@|EWjG88j> zEb=0yp6xR-V_(+&Lo1deHXmmm*<5rk%{4)m=ElmK7>a?di9227#aQkIQr;;#B*{5x zEpk%cm^f}a>+l7)5;;OOy3LNV?;qPgm{QlR{Cb`^ zkCwRh%Zz00m;zmCgKmkZe#S2{!lCJmlIYYmMR1M_3db{U72WiBvtLl6y4pT!_ zeQ$nfPvPJ%g-v9$m%##$BGpxdFJMq&P`<9&dyU(&m-lacdBU_{#wIuzdX$~2u-I+S z_Hd1Xi67p~!m>2B(<`z#;4Up)z{!OZ0RmzIsiidhC8enFZnwyWZW84 zYBkcFPB>E^$mF~FjQgVZ4DHuFg&&!lsBxpT0%cIyy};5NrM1TPGN0t&y7=ZJrSYzOnX^tq;s|NmugII0U&r_EfD$#9Jej?jZPz@|gR3 zu1?b09{p(us&hL-eYC9z9z_6-wTzRNB2gW+@Za1 z>2u=Q9!SiIjX8YEQE#n!rgBSyx+AWuoBks(+>Uw!!|e<;Fx)snIGGh=*OQO!g;&#G z^tPrwd|&Yy59eNBxQS5%!|m8!V7U1{0*2eMy*>Og)KB&+J~J1iG$v7|z9x@8GSP`0 z_1=?O$5dohlv1nNuEXk+Yx!Hx2WH!(D=LZ$bBo2Mf<1;eJ9}v7DHBDL!+ZVdyohR7 zf54}msZf;nyoIUA`KM_ksThl?XX-SSpsr_y6EuZJ#w37Yqb4UNxCpUKWL)FF>foFyb@z2x=pTk{lf&dL#@1nwpX zoZlN--+CP#YG4-yT$tC_qAq+U1s)!soO=48QyXoEHs5XCps;{JsuA}( z&D^{<=@b-#0KUCN4dMD3h`bw3KLODq;DTrrZ+hK3+LyfM0kMMK66(yoMZH+wjTw7% zz@S6jZRV>5@SDsYr~;VM!@A5jw6^e5N)LO)1-(UuLwWN(Wv?*<*fCctq|)9mNu>p_ zdq;c!Bu;RzE3Gc|k~uiy1!t~4%Amnqt#?p~heh~^ms-jVYVpA`Eo$=2H7TWsNG+gzlQ7%;5^Ogd+790A~~4Y*KZcbWO?d8>d6?Dk5}c)rK&(eYT$BYUo=s|Gw0 zpZT3PHt)S^K%})0!OT5EX*wT7ehU~~fXSn2O=`%ow4}_iHf^QXsT@OvmcLDZ zc&^FZd$uG`*wkI<;12%+zEx3LX#N?6r@f;pf12Z3)NWlzyx`JGO-C+jQD0|%Wfw3Q zSho#ABC?)9TT=9y)hn!rmGz@;1VM}17_M{VV@Jd_=H3jofWhgyx3nc0uinz$=LG?S z=u(RtgD`!y(xMjsz3+(Ex!;8Lx=Mm0rQj@`UjprYVISUS2iO8Gywefbdu(Ay(0e%s zu0w(Ed> z-8cVwXs>3W?THejS$&pOg$m5ir*_pD(6&l|zojUG=b^GS0z>zFN|qU7dbM)^?Y5Nf|&+rgcxpv7+0IEc)$O zMuVexoO`JYMi>-FgH<2TYF5RY<67+|$EGx^ay*xUqs+hNKk?mZKw#`>j>^sbqFBW} z_z`*g?N;KV8%PA_wU10CGN&e7$&cX>ME_2l{24f;lbaGhPfFsorTU+ajlLK1TGO2k zNjUt1`_#f9RJOf%;`iEj&U($uYFjY6ROSNBHwl7;ox z?5;1wnQrN4o?CM}=M{241ZFMTI0RFVNLYsO*Gb^yo?V-H$B?_0z~GoDMzeH^4R$@^ z7-d($3Ua>c0|D0vw7R8uE`x(r96;)xhTo^EJ(frJIPmvB$)4Uum13iBWLl1 z&RD`=;WJKe>Mo{})xJCzr74VOLSZ~{3ggjH7*8B9o-Lp{U!GtYBPFXi+>n>N}!ZN6TepfKOT<(~riR+2jJulb@^?x#5(TzJA9Z-qKXf3btk zE8_v}%M*@WKjswIKy^Pgy1(0VnNEpw( z&U(hr3@wb+w+_O1sDz1+=u#G_#0Sb2sboCzhiMwDP&{lsJxwzYtk$VO))=Pot!JoU zq>D{pSNljI&@dmaXa9_&)3cSoB0aI@S3r?7}f*4P%pG>#r~q!YGQTd64EX{Z3<@^_h`QY~x$p zoHo7Eo;qvm*A{+w)1)2rP*>^`yzS~FP07!&Qh_bx{LBDbUh+Suj%6yhF5)A&G^~ku zVVSC)@zoR5;CkKmrWXx{uuR1$;sE2RM=HS<{3s!WU|3-b38qU_XroiG1q|qD^v8_S z+~=L6xer;V5;tC_0;yw}3ZxF=qjSFz_P9!dBcak{nI{>XM5OC7kqU#_C(GP zZFmXICvSLZEl@3AU#03(*@rhYRwT=9jakpqdxCXhUnp27ZUXDXzNv>?6+sQ}=H1)G zGU}@iLPj4A)`@+A%hd!-;6h*muUuAC1ST-00VeSGGh4qf=DzM&*cd2KBn=#$kH`#j zQdN3w$T4JV@f$Awd1eR7;V1RRwQ3}fC`RThPUk3Rb|9;gJCJ5BX|o1tIY|;J9Y%%4 zcq2mCtmjHMbUwSKQfDgLEyq57_O#PlVozd&f{i;CeXFtZ22uNbCz$Fc-Pv_fc z*KhHSH5TC|ej=w@tm}Z(Psdbb7W#fdmVImA1wxWr)ff8D3=Q;OD<%nw1WW4R=bSI1 zhipySdmq8M!#JOj;bVx|d5=ZY9w}JU?7YU*c~6)vAU4qf)C;uc{IMRwGb(xG&Cjy| zJo$UQ2lXHGbSBR9OiuLa+G=Q0i_?=`^JYeZ2S0pd?!1?#e0byP+D53`5*LXN;VS36 zf9dla-TlKj*~cl{vX7(vx>B!Fxw0Oz;87Gtjf*@M0y~K{ShZ-Lah6>EJR_^q1=zYR zo?SF((%l@$l&#-0YW*M+IsfZqo#L?yn>+M{T?w;gn)u~j%+qx|JWumRTA$BSsfgeP5Y3K%qzRejm+tMXGc%|M$N(W z^5}2B{WgA#>G0zV?7uPHWqP@|i6q(2hP&EN)Hw_ktaCHqE-{Nv8@jF;6*Rbp5IwCX z8Y+1oveG?Z&Yu^1p)`n6`Y7z}7L%%EVp+#4mSf8NqC1mzsz#3OB44hs_Z4e>>Y#jG zwvTbHx3%9tPP%D1WSQb}JB2kQDJRpMnRphKcPY9cuJt8OhuE7lJdql%6gZec>^_M&JjK?oo&tw6$s!@qUo$nL+!qX03wP{FGrgZxf9K+eNOdMqYm}5S}-Av}!AC zUQhaYAF?xX1eR)3qE}L8!sbO_-Iql>9Odckn;}m+6!Rw&6Vyx>!+FM3kd*Pe?XQ}UWayRW8 zWcZr-kj611pX&5SQUTTJ8gKd7DUp1t51pVhJoi|>6iSU=4tYpp&F;+}Lpp56Ky2`R zK{d&?Ir*4Brm0ZL7cr4neQ^7u7uqqisV&1cfXj}(#Koxika55K)dHe$sOr~-G?wM% z1eUu~qle?=Fhx1f>|GyNL*?wm*wq&bE+;maroHmR@;@}|7i(~Bq9MHUGci0-`I#vy&=M?#Jd;I{e2>{ogVmV_9FS_j^0N3A6 zT(K@-49YiHf34Agza6Wg5O0iP2%Vch(qePP#pwB5`|)SCof0kdRBt&qrGK~8b7<)^ zI56qU8ame=a7`xpg=3zMTH&3Fx44|UE%fk~Hu9EYp8s7LrlhdN1=3t5tQeKSZ6* z74hom63Y5+HDR$vV062@{MmXai=q)6T1`l-VSbKr(&iNmrAn(T*N? zWXXBw$>qv@cj6>bk3Hd+A=(WzAsx=K30Q6__#4S)miQ+z0@qhAcOCh{5-;>n?2V(b zo!A@ea<-f8%Z^W4TG?*C?B-R`GQ6y>(SD-#iZ!z-U#@>9^P!t_Qa6taT<&_hP{doC ze&+JA7>Ucr4&H26_7T9Gs7)7Ezx0dE+aim-n(cmpYRAOS33_*4U%!<#(|WK^Yq8Pg z)l+5FJat8lo|W+@XGTR>?o}J|i`{=^PJQVE(;TVvrBsem%Dde6IWftKDM6iY+OJsOwBlp4?6a~I@obvl&#|?k%dtIg_TDM! zhLvab%~p=Jmj9@OMf<6A_fe-7#z#H%)?J-8_nl%1HgaN=&hUL- z=n{B@Gx*&xH$wT#*DuXa;%(cw%NWWk?Jjoa*&h2;VpG>0ix}XjNXJI$ao+m!O9mEu zw7ma}EN{6lwDfe`iAUNmvoC0ewdG!zHr{vshG*5v1?^?&8qN5LqxB>-*^05gknO9^ z>#og|^{fLdxmTKIyo-`**5V&`t4U`*F65cF%#3I6pL*!K zQK#HGC7a9M;T|=WzDqI@>vSBr19tc~Ch7W(Uwp1>8KzrU9+JLp^YOuXeHv-bjD1l- zo!<3VgwqQ;(hIarvW^Y8?u)u}&qU%#XL86v>*Fk)z1>!wbjqjd#fpY?0{Q%OdVT3k zK1An5&3zRKwROH_E*T>_(%jP5oKhH--*jU8Q~APDAWK=D=yf_#{JCR3m=F715?xDG z+t`X)O(A&N$R?#-ibLB><~1+4^P}+;V)xPmXJGd|5lHx#O5u#)By7L zVeKz`{nLRVS_aFq@G+r6-A(ttq}F56NtXjK<=VVm-Loev4D#zZo$lOLBr+1Rk0+{= z-cl70^k&?dxK*h;PR`JLzZ{|+W_Nfax(L4T9cN3PFt?22yrLxf?wDX ztn578wyB2}s^|;J*BsL2jZK8+4_V6^$C^b6SbK>e4y|<2+)MFBdkmjPuFwaI?HRsF zb=#F}Qb(Y1*|+$9gta^_SeGe;V^%}Mqcj+0qReQ&V#cpTz0(QzYcL{m@aH{=}K z8@a>%R|92?m$OR56A?z^e#X&yGDIsD+j0gq(jI3iJKFZ;nrvE;D(~km>Uw;uXW8x2 z-K{Q?l#Yst9Agr3 z1f@&SfC?%_QF;)h1wsuV+#SE)_q+f5-n(y%lN`gzVT`j`d#$#wAqeF zb_{Tgo4@bq^L}ey=ew(JF7J<9kj|Df^~raq=_6~(E)lWQClpUx7iw00v&7cN%=dXL zry>TrTG!Se^Sz}Hak_u`kDo%`ox##ivo<>vh3J@lp0IdCvo2B=`61f{39WVgEKy8< z&epK8w_q5C`kg?pOmC5sz$Z{@2w9@&e3SCXW8sw=t#ouI;ujr>x;8%~BNNWs-z4eG zP>2lDzxH(LGDqCAJ_MPF!FPjrgkF|Q#^NABnQ_fa_aHJiNW>z>O{c_2h#ES=f1^^a zSxc%-_=Y6vVUTkf`R?d&u6D73GeSuc>g~lF#Fc^_c+ZIqF6<2I+G=h*#%aCoxHUa? zWz(X+h0%4Ydx|^#S;t}N!qiUoYtC1p_7|2X3m9GD@@w`1E@O&(!+|ZK4IyU^U%mBH zg?bbv3r;@`1Y$o?o(~qPFAWfv3)~or5|n+k9yOZNAtb9WMWzun|6Z8GqP1Hda#{Zo z?-EkxXy!)kx}xRQ(+3K3b9wBHZxiPV$2HhJFVfhtqL~AY?kAFSB)@9=g?iPco=a>w zhNUA56L5#s-A&=T-SI1p`*z3QdE<54|rx=t#(qf*>){WXCkIO}G0#2XrhM|9*I=aH0H% zU1!q0wvdIM-&?m`YY(Wl1-J5_T;mHN^j51No*f9wTDW~^vFY+aJQ=s}hv}t=4A0d# z8ivOkcaVdh4&mFq0}$HtKJXUd<7~H`AlMovseHOH@ED8HBePj>vb;w|Ir4da5$);Y z>vy%C<~0|ybMBqGo`ov_Nl3YxCBAsNY|k5%+jN{5wHZ)@)13haXv zrzt`PT2bno$c(wnX>{L4R;gEdLey;Fx2}|CW!mkCFwOL**hQC$7S4Gtr;1Lc^bsoA z=fu){-?!{klr!#fESE@oR#yE}MU6^Wac#f+dF;Z=@J)q#Rd$V;f~W;fK6< z8pdZzaI*M0={uWp!ILn)2=JjP>F(ts!SIHNovb7rTe{(go}=Fbrzt{$zZ~G#CC?YD zZw&Q}?H#e%7(_M*V&wo$zgJ!6(v<{egdv9RbMwqvh`fa1)D>vqCzi*~6y5_Gd; zOLiA$T`-GpLoII>lhsDTpoV1#$k$Ao?r1``%=h<0Xl;G#cftp`uyICJuRW=v&?3wz zVHx(I3r*%%ZcOK@4ZI!-VfOy8)UL*TQ5zellDxdg7KQe`DDpU^1Rz&mrWH<%v28Xi zS?y!1F*CI1h#19n4H2$MgLGhwX@L#tKd%jB9xO#943<{Wr)V3)lNXH#N*9g2BDML# zhe{dDmyAzCogsrT!C%HzA0KHK3o!;OQsL-Qz>kD}8NVOsEoC@>ph}M>2H;fX$=bzc zGfR9oTcesP*TrNmoeeYy{W265I;H%66)O}S#3TiK^!nOPUQ!TK4+#04je*XjT#K05 zSf#lG!M*SH-f9AylX*t>2J_gL^nZqiq+j!$77StX9#+p)qctG3L(Jx>U}s58G^C5D zQCY%Yy6b8a@24G#NPJ?`EdGU`)I!G4^K3<=gIBamIv>=-0U-lo>}BBnZec1}mLg%q zSv4sXW6g^z;SGXoPv?Rd8VX^}MS}>Cv zs9O7vb?eovKvqu9Q8o3K^=gF!ZGoDu-EjL zsbJE1(N7yYpNI})gL+Hy;(nIO%u)v4R0@cbe=QIsM1WG(i$veo#=aI9Oc6X3P9r~P z3&<@h`^nvXfEhZA)1t&t_ ztmx=>ZkF-y8eY7y4K;AJts^D#X@Y!BNQo=)X`1Bh9aQ_AA6#Tk&=g7(dGwQH;29?` zt0eJ2L#>TUXU8UQD~;porNoAYR6ldiZ|L`;4>K@=Y{GlIqf&Yw?)Q3 zXm=wZM;UM#zQMZp+$drmBzd=RTEaxYultr&H?n_b!Y%o5KQlwO5VKH~Q7mg|kA+Fp<>g=srXU$b^5SZuC8=2!ClGj9I z`HaHUU5jeO$js)fII3!riHmBa3C;CMt31cAR(U=tS2gEgF`7BsGF2>EvsY*fDmvbR z!$rmk8K6B3oG4hV7Ir%py+A3#PwIG~d}l0J69nBe92lAy9wf$uAGdYIq*KjLJK3KX z#9hLq*zRkwaTV_&6UzhH9cua|=tt;}M9|SBgi=5m zBr+`%64~`e_ba6%izk_YB=kg;L^nS1oRN31mcXXetf*B*On}+_E**6XtNZ0KPO26< zwRO!L)mkeW_b}6Fi#Fx1Mse~NB|1gs^cKV~JfnzSyuDOpu5VUkZaW=sq2Jst(A7*} z12Y&CZ6UFVd#tdpQX6UE3mK~sXXVM6lwOXkmAK$DQI4Urm7Qg1U%T<3cmE@$t@({! zbHfMpk+q*lY-L&IYm9%)*El^Uvvf7<^*?ENlt=okA%-nkFug5&w zD#_Ol+S;IVMQX``L^6CqR%u@Xt-`zo=Wz2>vN2f-$YqGQ1Al>j2E2$rNCjL}=@O)` zqLLNKfFT=XkqK}peGnKG=p5rCa4pQCdy>lEGYw71g6fyW59A+%=w&Ve#mL~h5F?f~ zW)^%v&E8d&YP-Z`b6XLZ8@AkTyXZ|I%l^Duwy&Duf*cF$*7ypy?DKe~D`7WX9czSK z2u=5y2*1w2{!9d_J02el_wIcmsqj=JuE120^_jU5RXn4*GEsH+%ET4jm})6e$2Wg? zybdFO>t1*QY2$O87E14Xd$=O0TTBMyC4#1lA|M`_rv&X0Q|fIGXBO~ZELr(EqI zar#QQA$jhR*y&`d(b3(^IkkmrkEnWB!fVqfQ|D}BJ}bWceWc$o7(b^Wv+d7f|LTE6)o2iz~^*NQV&`e?5ByTSC5QX@qaYbo?76E5n-ah9po zQnPvnQpw82tq{n3gl%9w*`J$zxhmIHThk{pKE@oJc%yP``pc0~R=nRhGnJ%o3$vu% zY2hKmSJY^LvrgL%&(`da5SdBUYqzPpq3$zp$d|Z2rL>)F(;~g|G(18()&y|oQB*;l zyba7dVuYEYDO9KiXAP{_l~S34clX|})EY%}YlLd({s=P)tL2amf zTC!*GiPgyUy-2?`7oi!?W;8(qFU=(NXO545;JlG&t{Vu5Z3f((Pc!=4`V`&J>mjC} zX){|k!EB{!1&K)XwOUo|j3~Yn>6vE`x%%_qjW9rhPmY@KZA^Vy3GX0vu`nW>R0OH5 zg$FBEAMuS&ZR6^jX7?b~<=q&~bS!Dk$QKWK{ewOao`FZCli28CR_a_fGghTpX(h;E zw9bp+GE|_eYpQrgT&CumE6qRs@m4wD|c{mtJe=;|nU>8_q!j%Y*+fw|~D1di*F@9XauZ#my*(KX0{UpO*0K?tC z%S?)b;WV)oB*+zS=;$1x$soiIba#QKi>CPdapk-?2P)(_IDuxOJ3Xxh77+$OUbYp? zbTJ@V^v+2I#Xy_?QSy)zC>IzWS~ev2~<+Nr&bf zri;@qI*E5AFSVSEF5Xd{E7VJ(-+Ol9=8LwNH(hsTeyBFoet5!Qb4#ziEvA0>iKE$5 zCa6G+bFD8M9>7xsTF#k zu!6?H8#E5fH#2VTNDKwCO`WGJ&JI@RZP@+HPJqR6Iudnfz(e^ozr5+X^j$sw238}v z1-8usu)p2U=XmTNXY@}~E2xc~B}EFEl!f)}flu7L9i#uJ(2u8M)35 z-VxiC_*C$uqW{|e5hIS@wUX?B&3s?gEf$?nRQ#j z<=)0P@n&T}ll1Rh3AFpg`ihv@g4vUo#!MY+c!L*b7n%vL;R~uH2H|x1 zlPqM-dju{aHEL5_K?ELMT@krriJxd%?Mka)EmK`| zuSDBj%G~;TrBovIjw7Z?&Llr{;2?2F20kFuo*c4jUOnd*ml}JWt*pre)g_fh?BJbE+xeItNGw4Nu~E`IXGTU ziDO>hc6%-j!*=m|boCpJsxo9(6YEL1G_GUDiC+wpQLb-$p)BsUzQ=s4dGXkOR6Rv& zdi06=N80cN)-IYO?ql%|w1+61K5RA!qW1_>%owm761y05@!IgN+@HDqKuAK?itlNB zAS5Y4DK|ipd2O2k84=BcYM4?<<|X0c(=-?uRGH3wCklG@sXL)cprL#KYmSAPB3Q3ISkg$o3nhhMP<-f-hrZig9Bx>Dz_a#zVG?l^aby-D6$N zXiDL6m+D%YhprmI^{EK){?9l9-!jOLUnw)OY~57(pV9hn5x?rnW0SsNu5A3VWCS<5 zb&q#7@0kma@0ydGa1BwOd9#~LiE#T%ZZ_~gG82D#%LR4OLHz0MSZ7pflgsdQwlj)Z zx57#a$CZua?rRXbjS8)k(*3oBLgF-%6p!azVMbf3oN4+jwGVuHAC$XUFu< z8s@f%cltTsC9!uJZ{4%+;+E#we>`jfHd*{$lkFz-N>o$#o>dO^H~vQ!X{{b>rFIIk zh1DObab7vTp7$EO{D)UW@88Mhzn9jXP1vSY`0mB$2ko-Qp+8<26Sd27`dZ$jz0UgX zcIvI&DTleGZ_i($48Mh5_cjn7pQqzZM1Q1&_6!iA6s{u9WW5n5i{HSYDqM9y;agxe zVJf7<`$+U*s0w!7AjA2$Fx8iCW<1Z*g!9Drz`44{8KK4*r!Z1fLx>9SCze^g`OA=< zGF^2T0l&iF?{)AMaC9ytwZLZWpVz{jUfN4&1--3kXCE9))E*oZme5L1VN9R=20q>S z<($~Kh64ceRhd-8W(s9?0>G6sEqk38HmuB=A1?u~hF!dy5oI{Xu%}&g0=u8pRtehxS_R z;ctIY=LE4k)P3kbGI50r<<_dws~37JJC%+eC^?n>4{oI(NIN}X2dQs=7rPG+6&b(6 zyd8&byQYE#CrNG;tWIT`?I;Xjx2XkLZ95!a$%=h!1IOKmuUDFlH_|f9-$NAOJ7gE|Q+~#v@%s9B5JT~ZH z_VZK2z5QW}%GHi_|A*biPhakS9DbhfZT@RxmHf*5#_DdJ0f)Yr1xy^nss#3LJphPK4Mx#YoQ4I#FSUUPI-EwdMUFb&b z@D+GL3p$#HnEjxF=lkx^WgL{_aSh$*4E~~vJ_x$GD0GAf;*602dakRwX2bgrJv$*X z_Ys7r;2ppPcB$agwRZ?#5RAdYAzZ|_zFFS2%Qm%HzWo0ZprIX7Z0hdGe*@I#q{rU= z`&u@}j6+O)$;ov!D+N>k`lS^3&?LWHFS_LVzOZ*BLpds!kC+&yPDgGZ|Kr@;s|(Nj z!TLAPYiPEmvf1q8k$od$Vv##iTlEM1BNY9$rrkH6CKO{R=$8tH;WS~S#E^KUIs5#Q z(Sv$}&xnqFiR^!hcSO*g^Z;M1UU$@~vOAW5)1vvtmk=#PLNuIe;-eIiQJfHtuFr@g@2| zHfNts>Zjy^Y_DAJq-}N04jw*2+l49zu^kFx7L%U`6svAa$WVZ?{;q>Tc*)NFUMKZ+ zDCmU6_9V9SX5;E8I{~kS0c2^15#^4AS=+5GyQ*)2Y&A@?gJGb$A6mxD#sTh60&ssA zJoncDxW5keCA-tTI{@HNX9f8Fj1T-<(t8?CSW{y?se;U?h#D zA_ONfIfNbaui3uILVC}P%!xy;SWR`a_8!xV#q8&c60d($XX;b2`${z)qxvQif{19h zxn7pwANW#-U2sRcW{b-_+>9fx_7yR6{pc$Z+rrIqpR^V+D*CwIbS}+#p6&+Sd6@j4 zZIOt;(8%7K-svBU9+G{SO73OS|5K!ED#WfYGOofX6NfGgRY{KY57EVL8bZ^bAQ&ej z3xw0WvC2A-b>0bh$gWc@`PhtUh5M{-NHU4Q%v3!F(4Jb)4Z(9 z{GXYt^DvrlRi}A2=J=?I+i_77H{+r-3$JXKCPiTij$RNqhmft7XXm$BdriO^VcdK!3wE<|b}+AVK5w1Ws!mTlh3__0^V+9PYuV9C6Z!X9 z%YPiEI3f*DwJ~B}BMpdh@L~JJP2R4JYNpg+st`=vRR2lxiqh>R!%1%Xp~^;e9!(Sd zijz+9uSW$Z;ZXV}1jIS>G)x(pAOb!B3a=?0jpz5GerBHP_(SJDX<$JC=MmP%^0Jrj zFr1X)*$L}R1?0+QXwNW2L{JCte{hxmkY3-kJL;bJSyq__Kpg_0JzHF;!^upqOjW?O zmXSM0{Ipvp7$DQta+CR*L4!0TLmjqcI!i^~$);*&^>K|Qs{iOjI7L)XYO78-rRoz_ zBjq#k#?cqXE z>t82y`X&^a&Q=F{&5JsZ^xBU&eOQ>b=xih49Ar~dmwdwR5?Sigwx4;i+xiR6*HjZ& zrcIMs(!P{w7cC{K{~>htirm)Wd2eg$8SXkwJ;3H>q2lQ`sr)lYMJ}*sN1{atQKkGQ zB;-ESs8Z+bq0&V|EFk(^G`A3E-)}+FUn2^9GC~_zMeO-r1FBC{sQ`qxASocNpQNBq zJ|G}0nVnsc=*>9coVdRAL0=CipN00fzSI}nHl*g?`o=9?eX_Lp`I+zYJ1W3tAlJuy zQ7AfkX+TH(ZCAt>((6-Er|v}8X-VEIW~mquyQ*#t*LbJHrlKC45MF|+4i3$XSIx|>4QS!@I z)zCL5rTQa|ENiC}c<#OXathj-3l+drYW61SU80)qwI-ugg1E=`oQucQito(m!yBZi zSY}j<7ZJi{+j1p&!i^+qvY+^4sRW|N90V!4A_&#|Aq+M1i&|fMYG~ACMHtrXpZSk7 z=&(Lu@~*eJB5$c=Z7sAjmE(V5BJwBc6F*ILpW~)s`J|p|8Y6Q}0&_}Qf|^fdSyk1M zW@*#$X0$IamLZJ^CpMLFT#7}((}FQ;qi+sRDGQiAx4yn!(Z-5VWQL|wq2@){uQ2Y6 zz)EExW`(H!0yTXw@l=}-YYV7w3!Gs{Ro zg%{{XFY6Ahq_|`-zj0(Xyz)(t^0XpPg-9UonWP8=DHI6R6|^FXG=>)!ydHenv-#=y zEw%h_dc({7LBF(`8-(nFQ`u`PND4Lu8@Jz=epq&%eu?RKd%$GX{viLeUVpj3_ru<6`TUTCQZt_;_JwUq(u6!l zDmu1{_32kx6WS&(CbUt}!P5Gp`F)O9O#7X>x>zqx9)A&7@In0fHf(g1W2){H#=v~0 zK}z*bavFMCQ=Qr97Ztm|P{FNGeM3&A&o?#S#)_0A;)N6iYXos02ElNeHvFb(x|4z- zeE&fk@98rS+P5Drv(MHzwEQG<{z$-3cwLrPNsMskW{oQElj z6oW2uBtye>kvj*U7BsIYf&Ucz`lwC4AWWgSCzmUg@`2X_5_<0>^Au|eO}~kNv}M%sWJ4o<@RaZ54_hvS0{1i> zEMPVB;+8A_KKm)Nh`r3;eBCV%07pK6Ayf1yn5h%w^(0yeAy~CA|<@W2dN^v}~3Ndt!P#xqkNpqp@nonnLPjKw{dSoG8neq3rsunvoWqL}08rr=|p za-&K!MEBnVsDm78gmZG5-cdYGhc*68HFA92>lbF`h-2^bv*rl#@vu^Y^sy;0B^s?FeLJKS>i>L&m0kIMKDHCNw< z{vOY;_UBf)osYs=>62f*WA^x+r_PwQ`sK7w!!<>_2R%54;4z$LUh1cYk)=wormEC- zj_77eK`S&N&JK7`Fo-5L|C?}{_R7=>h`RrCbn%PVko?hgXUpOLK!h1R=x7Y0J1>cu z>F%y$>R!<e1c9Tzlrtf5+6pmGD@Udd$)~vU`|l&z!ZWVXZ}BFKntMrJM6rS~sSE^VnWe zcCX9Nb2Vh*0YjL-;A-t}6^AscV=$VQU^KhIXkre|_agR+Fd~#;^j;Ll2dJQL8(Ht> zXSlHLHAdXAJJYYDsc&+`92tI?A9+{NtyEey#X zR{OCZT}ez@@QjfPe)+Ab`@9Hqpy+nX$~JTQBzwW)=i|3oMFfEtR0s@9$bu7`DOsm$ zaN~l)v?Qt?yc9absz%2$B;}IU?qbt6p@P!mtIVEWR4=+7yj?RAxmdaaYbbbF*b_S1 zGE`(FV6?ixlmEs3a;?)(&+m=myRmN-?#~8cde*U@L@Ie6cD@Xvx3kagX|Sxi?~snp z<>kjo&?CKb`EVz}(DQAF?4h>f+3f#xLOJ~SN!WnY#4oEO&K7z=pR{lH{NKd<0t!7J zyM$i^Z9PV!?}IjpHT3?!i_ug6&fbeD;F5_`-@bDncFfhOkC0V7t>tZW9O=|EQl5rX z(tUP5@hWXPr@ljjG|~tg4r=?J?XmKLoLEwxr|i^g)d;KYH{<#p@=f!ycUjQ_Enj5> zH(TzTDs1}aw0^Cxsmnj;{=LSYDehjWXko3e>2Wyb>blu-r&9Z5ZRE;_N5^#TrN2+2 zdFJSq?@i2oP~p;ddnIWi@QUZn|ISXiD+lxF>b%q85nZf%krDZw4EQAPcdFM=97`$! zB9s}LCydWbmvKP8ap4kJ3tm*i(s%LUNYnB8_q^9VduR!L6Ti;>L3<0)4GzTwbS4dI z35pz5#NT;$X0TiYKvTSc0SwG7IMOL}RbnSqXit16G$erXp3P#l(Ta8?I!hHBr-3aX zGO6R#RW3Dq91an1zTEI$e846#r&O&j&Wb$ApF20)9+_zV1EW&s2~(?^t|500dxaal zH;Nsl*Q2!piMWw!o?vp_bQc@*HOkUOl%mm7FVeh_%t}_U_xL4A9j80c&#?5?*bvkO zw+ZzIdlOmYkUDmGJNE{=wu(8<)Kmvh*eGWgnY$9&@Z_<#ga^t~ypYdoEqq4h1J;y% z@N3GoQlj&3{+xHVf+nRCl@7O`?!p){lw>4iI36Brz_$S}mxkz$NJJNl;FTQQLGz|n zPw4@xm42N+$XyNFn2e61N3B50cN&AO$&R9zYo(ugpx99NS@5gw6Y^G%B%O_(A%olw zQw)16jqaC2|K@ZsIB^=uNra)sHPW6t+sjX}AGD3Db|>)pyw0qznb-O9gjoUm?}dec z<&jb&Ar5FE4H8C=y!If&c{p20CoUnKkv>3YCWO4hGMn+a%bW{;8Z*DSozg|vDFDoi1o5t&5Y(%N$UknpsjHNVsmsD>rRiZSgd_G_~MxMZL85UvE0|>FMg=J24<*7UZ>2_+C3qW;wUxlI#p~w z6q@dWh$rjwiA>af4~pCSL$tA0G2Jk4P_`=85Cqz(CYB-z%?Q@?8L?gX*=xcZQ1(O^ z4BG>3{FTq#DCJ^~@*RpG{eY|q0RI8ts@p35fknp_Ja$XnYk|*A=|?_xYrNsFwKAfG zB~@>RDOlZ+*3!AN%g*R-y>^qLg+W+5PK7#(?!v14tE+|jB%)uURg@)ViT&DSD=$m%XRn32NV$qeWnzp(Wq)3rphi%X;MkF9>_>$d z>6n`+@mQr8vC(Ha0#VL@6AqD`nZA|NtN^oqg5#&vgjL-V1bZ({iH*#C2~_+A!}Rq@ zV}W=m7Kn%V%s5ymX3iqKF`)7B@-y6>rimr%Xq)2rmPcOB%`lWq12_3mv{A%3BQ1x zZFC)vK%szj!CwTNm_I%m0g^Ba&Ry4hR32dcz9~aMJMJR&bvooNAQlJB=1&6y<`jg5FYlnW5JVi|IIdapv&| z$eoN+QFSnh-ZkPU5f>IGIu24X>^rFYD&2^9DG>*LNEhG@xRjrbS{C2-!D?c0{6V(b z*wr|fZ|vf8?2=}BNi*N{#WzbzjilL=_;5hOc!v?y#+tdP**q?zq77g;eBN8pifyyNjnM5Krs_VKHwYG0w zWfk4NIiFif)box#d}K(CJU4z0W=Ir=S--ukTY#r4%ePvZUVDA&15u7f%dTJMmplJVw{%pG zUHU3U`{Vqe8bT7j zAgD}woD>?MSNv-$|3^4nDK85JSgA7 zaaAjr{ot2`hETkD)l=j^22EAWCG~;nwLU9ZEvj0GfW>9QWLAsIb;HI^6SqFp>{K5+w;lcE?x~b;z-Y=_tt|apbl34S7J>j}z#M;+2obhqX-1CP{`gM@Ul4qUz#?sWYYJp1Cm?OKn(1Ea#gGB9o`pjTY9zuN6_cPwtLzhz z?lRA~aaNFs(FME|V5Fu∋>j3xcr>L?KxfJ_@TcPfe_$g@7SY|6RFjR09;AAQBtZ zu_|fkJ89_LVg4Xtkh_GtE`#p*<2<12^QsZE?^VEc7o%rTZx@Yf@m)Juu=q$QwIXXU zx@zoJ@jKPvRPA-GbkkC8Rqnki|KhQweACi*0fu*P^!B#IU*?r~Yi78&{sEB{0L-?T6?~TcW@%vRpORirzxnrD`h&_TD z94~l zHNfiafsX1vO@^QP@shP1rL1?faq?BbCP4C21*>~AGnAeN)x%7JI)s22%TdbvC&mCE z1vyOn5;Psi77vA4%xqw0@@rVl3Zz(L9Qu3jI(-!Ho=f7H^QCDpoHV{S+Xc zAGZrf0j$Xal^gep126>)co_YX7wN62=>eyn#dAfseE_ThqUt%UI=&J;yoB_|X;wGR z2RAu-sbeIseu#%(xj@_X0U2}ypDFY+A~&E~n7 z+Pbick>aol-O8|sa}QwOjHk3tHqNSdmWa1Ykx%JfjXt=|B_QkE5mv$XSp7z`h}`S2 zBg`JY>qyOVcwZW&_Hh&L9?9%|tV#?$f5L{0=%hmla3J5Igwx)kV0fu>jO<^Ah?4=| zR%kmxd#I%Gt%WYWa*+uE8t5$o5|_D=^a_fXV&XY(gYfHk4hjG1e;(S^^Dcd;2Ub4K zO5$=HR0gcift}GnA}&i!*~cW3#DJ=z_XgnwIT0@+A z@0Y8V{vlV*2wou0Z-6-WJ6Sq+1)NY|R=_iy&Y6>3oIGHH6!Z}g+Kjrd%rxYQM_VS@ zLjq{aUExxrtc!rN&-cxMpYh{Z3J~4W@yrd$c-n%m=P^F+Uu1@AU%;nGJffpX_z&b; zpV7B{uQ-k)8!;BTN|`Bud}j+)A9M^FOk8l%ndh1z`63}j<=-6$UBWn`7@Az7H~EDNkrZZfO^Bir6$-P}+#i}+qn~6I z3M|Nq_+Jg?YEk_r7~^6)ej4m_iQrhzm7peyU~Jb!dABr&uxjY9Dm?PdT|;HKEP=Tt z3&EeyEghS`OTXIn3EiS4teS@qgjGoq{P86+3X>!zsFD7zs`dY*v<;876&Zp9v-tM% zf+)UBGGK&`iPV$G4<_GT?G#a6;rObw>NWeauaY$1sk}ERg6LCa?bfWc zPVBwP+vIYsgd1CtySo!teC!J&ci&VKt^h#%$&i+~@)L=iEK6^-@sHkWApbUSHH*A| z5^=r@nTOxaV*5OsLoOG`>AY|TK@l?|F#~)Y45Tr<{AWSEpqzZ_*d?gUNGO8hfUeDl zA6GAgB$tEEHGz_Gbb1d@T`+@6`;r+?d-Mx|cag~`e3U6+Q2%ySU@F}cMn=#vq4JnP zt8Xky?KYJH8F~9%EjO^WC)WG&wj#{Kzs9o0c41?>wc3wHdo3+Mxq z8U1g^1WiW*!DkY>@RkOa+C3AlqvZkDna%yD-T<}(&w&6#3pFsRc?;^0UZU2i=#NJ3 zJE@|KjGBvF!pw~PM6$ppi*`4Dy5n z=8Ii1?MFH2(F#Re2M`!gdqV!VxrAh$!E4})T(uXK!99n=h|7y;4=~R> zc-)5oaQ`f&wU5C}Pa+`}gn) zU!{aktK*!YUvgn*eWRZqf5=GuYDK36_f+L?KBXpeu)mC6_!wGWAWzI0+IReWn zjzaN_&KRK6@dFBEX=LVuzX15vp9M-GgJT^j9=&2g>PxKR9Q?C@E19`l7U%?63)kgM zNut>$>g}rn%=4FfZ}PCrD{A$2wEpVtcqHaMQ9u$np-LQx(eDje7>_)B<#BueE+Rd| zAk819clT?9!JSE3pL?gFT=!+KKRH>icB&sDxDR{6`O8!8iskO#^nJfInf1d2)NxDG zwBPn4`7hCHR}QazwR4iNTs8`*KYchoXctC2ztvU|-!W=H8{i<%HDH&IBC^#d$9kIa z!AzsOI6XZ!%q>Vm+Rj&U4;?Yo*KpON`EYsB@tk=m@_0$8pEb?ZP0iI1%ryr&1m)|{s1Kdq%qcgk@oybUxbf6?%7 z4%B@y6Z*Cx1bv&*GgTbU5hwy$UgspTNLdkSz-zUt8`mVAC$QP>P7`lyF0xoC4%Km{ zQ`Jfg)|9-wosSu}GDncr7D!54u)N%FUV}ee9}nDrT)ne4a(k#2R%q>gz#_f=vD?y?`gG}fe2)!pmCbV97cJ`2Kde|#a& zm_5IdZ>wPMDnNU@SW&P%P3*|+Ot=gHIdw|-LiZQsjK$))vwl$|xe~Mo8tr1bu(NAmV>J1l6GBwNw^w#VM4d--p@^nVpTvs`QdF zIFp%sXMzVnfQyA2@cZW$S0rjb#rLX0_+C|&qfCwm;DgZ2VC<#E+)E&Yt|~p%hQ&S8 z14Xcu4XF)-&IxINZuKuo?G-Be&(a#aUH}IXLSjbwgK7!?>LjWiZ}km5uxe~l692|d zb(Pf%Afu}iacL`pUZFD|8jW9QHty_Gay2~RylOAI>0Fvf<;Cn5mA3MchD+1IaVbA* z@71T8l(ZEguTIC?->Td$^bJw>4LQEAI#@AyQAflfd-45I{8PSCDFTih)sTKZ*Ehko zW}AJQVTSXITyF6x$HQbk#%_SZ_&;cjK@4aJQVf+thj}10VeL;A-qL8+i%2NVXh!$k zMid#{Kc~L{%)#tPOf(<Q*)6dk`QIUXdPC}gWT zdq?Yl-=m>&dzSb|*v9O;qgz2|JcWVj++i`LN+vv%IBnv+h~P!yhkL{d!5O8>3OjrX z$Hm;TD}P=x1#GN3DFnxs?pX9)+jN($P?ffCqE8OHf*TQ-BlDUFA-u)2>RR=UobBTW zr7^9+Z{$GK$>Sce1mxVUcCpt!9zPpZ_}b#{>#5`bmu6cy!%-ei61RRNq5ZN~l=ZYo z^$OEgz74(2{aeCtee)V$*kBLJhGjEng*HtRCurycxI)Z*W_SYGe<0 zVmkfuDBM-M=T+&Y7m2UPqa>q#7yWGFHxr^s)e4)@L zIwyQbcuVc|0%G3EQFC5(9x-n*kFd2lkCODY{_{>QVsZF5y>?BxzW!WJ=j$SV4}~oa ztOU|9^LZND3OXmSZg;wy7t)zxdhL71ktU@3uVdAlTOdde?@}-+yE;$p;gEF8&YyRB1HeNXj4vl2y^_D5kB)o<tqVB&z@xkyed!GH2a9BgEAC>EY4qS2T##gU()jb%$mPg(Nf zWcLtF8Xz>1Pl;BLCXy^l#oGPq3{3E-~x@a z$Yj!g4Ie4mj4PrL%^l${PCLSD{foxT=_P^8J5_qhn5y?YA^yIvLr$P`@v;MYVJv;D-<6d3E`=FWdKImBB zgC1b_UVL^E(wWqsx1s+$GeIJbQ!a+q@njMwa7?SICrr-cC3u=jUT z))8o`PP1Y7@sl#}-&vIlfH`ngfjM_5k#Vq``&W0|DTq&{c$3;$ZZw*f`=1eG`~RPH zZ#Ug`2F5$75ASu~K?dw}qwU~1yAm?9iwev8H#(`)5(0{Wj#$RNA%G7?f?2%yr)R(T zIWce%5d%{ckR*Rr{64CN0uwN;uOl$nb9V3~D}avzh?7TnfT5_OWovQQ6j+lAp9Soo zoPd|eJLLbhDT9IHQHbjK6js6>*_pC*?Nf)GG`$0r|G$&2EYbY$fR%-LTm;)&gs{2& zx8#7V0_NphIRVOhCb9)Kn*7&9cI;+8yu&1)2)=Tfn0zC?+4{Jn+Cr3oj{wgFE93K0$IVgjl*Fua29KWnEy_vuK7J&U1}z~wfNeE>C{dW z^c}x#*z?DCd%5lt2iWV2i5Ry4cCy=tRE2RK78jctF_&AJnIawGNW@BX=`n*&6r%TC z{BJYp_?4JWKTpQ6k#XC_r+X~*_8#s5;)C5inq>m+GL6q!Gyuc&KFD-~NUMD7)e~HJ zojV{Dq@cgUz)k*5MP3}xAPLsg8pEwfeUsc!HaeAD!i< zS_)cl(05xC>U9s8LYn7^xF!NY;OBWe*)E!3z^?h zlsT$;^u#aD?SkX0W-mE^VzJdOpM%R?&;y%W9O=ffT@iF`oY1L77!|c zbBM5)G++>N@iTfih6>PPbpz4M&DTc%e+ds@i_=4zgb)G7g*I~|mep2DuF3xtLBH8? znO*t+xn^V`Ihc2)ix@OeE>75j@s&xkUbAHt2rS1SM&eC8to9w}$ zY|*lp$N4nhO!&Fy`+cvY5Pcgd%_px;DhZx@E+mk3#_pX&*W9y(GN=|xzW93MOCiJ( z7{)7e$IB`y)YQ{4E;VDYbg)VrA=!DT#WP#$kNuXzY?z{MyIIG#E0&z4Zo3Wdoc(^6 zSNdSrzVK%OO?%648}e z{t_#aZ_d-1AV*v2It?!5Zrc?AR3V*-Dl*g1r2DZ44b8G$2cg{u7I+5Oq09rCf(lbW zQ{V|`3LNnxmH|z{$>!~Y#L#2mOEc4-rSO(B@S=qgN|Q1mIc`bm;t1Qt!(^WR zs{GJ+!wf73!EHcC{HOcdsFX!`C;hIaQx42To9+3n4M=Q*4Y^SYtHEIOA#HSdBP~tb zXiI4l6viNGp5C-JZi&VqeLngtC^~L*mYuD1d0ZlryXxm)@ArK4X8impK>iTiwwF&y zV8?>8{W}|}K*LfxI|nz~IQ8El_zf=RUMsLXvZI7rXJhrls#!GEe=MLvEVCI9x?0xr z$jlm+Walt$_d5aS77^+z5Rgf51DV7<5tV~M4{AH9t7W{f`$g~JIg7f=#!!F4CCdgB zkxgU+*@XBz1_go6ZqQvVH()SR9ALCc3n*)hx&#pcz#O|PfrIdr@tH;j63dFtXPdeI z6n9PD#)zbqTo%wY0iH7FG;o?4W*;UDHZt$JIK&cZ)Ft8igKgf#c=apO_7pX9Z!tRC z2h|8nzo2t>$R*3Hp4ry`adzi-<(da)D1d?zh0ToCAbz*kxA^pz_z`0}t&ns)(*pV1 z*^mv_hBh{UM!E(I8-D4a2zG(E>%7xlgKd}2D@|hw+dLDN?sBtriEX14-V5C=_4oaB zSh_1LE$(V-JMPTSuXC!_DV;6F&%ehHoCv(F%PjQZ(RugOHa2oZ?aNFC+ed1C1;9=c zQ0J+AG2zqsu zmxV{gaWB3~=U8bg7GpGG^2NSW&;Vx6YcP_*VfUws)?;t}rI5kKmpzt49tw`{@#ygu zAm-k9S~xYkvSJkAab$A^1ZU*9jnA3%e*#A!&+y6wO0#ruDYuY$n{f#?VDHvdaPb)- zjYlZ;x+cPR@kp697L+$Mfo$a%(EM&_wu@gH*ygtU&j)D<9okBj{M($a_>X|WHcVoF zytBg~dy+Kb1<=EF6mWB1Xs>1Q=|G+q`HGOiw(_tE+deiEiARYj=RSnjAhun5GR^xc zo6q1BqN*n;#qTlf9OyneIY~-*O*l!~`YVFAvEPI+$g1{`jb<{9dvem`ZkyApcC4t+ zBqb)KoiQE7Lm|_$7Vu9pN%@7alw$V)Wi%AVT2z<&QgBq#j>M{A#*>z`a*vFs+YXMz z#wIje>;c_ro|)ND_!}dhG=SDhLFaY#;br$otm0}7@L_BN--zMen)&;Lw81hu$vyle zdlR!6?4kP%lS9jm={Q`;B)87c+n$rdckXRP!-?-coLu_Gts86%NAw5ZO4Oui`m zfz`=QH3NKmN_;r5g;ok+cEx5;m}dn{SX$X?|FLoaHyWQTWVoL&0@lg{JcRyH2!yvP z|Bv!&-V}=9QsDR`cb$ck97_Z6vPNJvxq2C^)Ms{)KV5y_B_a+a*-wiO8j9CYc9;s< zlFE|MVWT@Ij`W}MkEsgZh<_D+_Xo#HACt^B!a~NN+m+y*gx$o<_3HO)f(eXW zXr(Hy<_%rSX0yy2uNi6Ss!zhGudeMeW-9Min|(EWYh}-pg7vRMvFxwE%2!FLOzU-t zemT;zI`VIevyH6ug(B-N_|eJefi?omWtXt?xCV3j!A%LdGkXC#R_dnDnJXFlv&r%K z%RW0vfeHv$^D7t>gIVaYUb9or5YM2pAZd96jTq8POb?n@v>*i*RJGuYpAGn2#M&&$ zliNE%x3RST;_p+>&yU2(0Mf=fu-l@g0|XAVaH+tg-8=!M3z2`=buNMhm5h$Stb>FA zLM8x!P%#b9CLb@nP7wRDse$*N^z=_Xv+D;o~sz3pcg+1 zi#I&+A+g=kDuk2>>>7bpV}Je%uAm3ssioauW>Z11d1O?OSb&R&)i?yO#?;hK4Tf&> zX=)qIT$2okrn=_+KvQ?rb-QrpA5?jXqaOI4;{OkH?GXr6%0#xT-(>z${I>h>kE94_ z^r+~41f(yNBS}P`2uR01L86ieihgGQD+KrO$NXnNg`lH)aU*JlfBui<0@Mn7I-_>o z|CP+#0eY1C1xhM$>jS_7s{4Eh#BgVy@?rw+r`*ggfW$kISa;0g)^K&>Mo)^ykty`ZI)lI5vC`!{MXBf^48F?3Qr=7pzA_$^uH-k;sj3Lp z1{5>efQ$+T$f!zId%K!3Ao#9tlP>SYY1ro1COv|ZNJ7w;r7zq76D3UeAW$PB2I?8G zK%W1Bf|~!r1jcv=DpT%R0s>9*z5o$uZ2$oZa3y^HuapYFh6rY7!POw5OZS=naW*!M z75~Qqny>+QP}zVdQpu=Md7~ysDS{nG@2R#8z=Nus?;I?EyeBDubc1LrN#4k&`R;i) zvH1YNDx1Zgm|bKVBUk;!D*xd4*(5HofgGhGKD^yC_9i(#r&}o@lgoo0K%{#aye%POx*vJf`Yk zF_ev`J)plug2(48iU_Y6=05=imy0Sbl*bW>sJ|4$OxqId!Pe2dsE0Qgh|=Od?N%Lw8MP)@5@RaPTB zwq}6`QX|~Aa%=ZTJIwu$9NFhp(Bb4nh@UxOU`=qbgF#v^Dcl{tdy;WIHyPL3njI(! zGwDcNSLa>W{<^A4lx`-;&-IV$s?n;0quW2LR2o@QC|0lQtXF^a2TOHW?y0IA9n?{e zTe&i=gT8_YL#3t9tL*Vgi^<_|P^*AXq|%{ZD}_yj-E18#@w3$3En!FYv|55np1KWRvats&OE2|%G*8NYY~7Nr~UVp#6NTwHrH6d+ceCtEIr%!5gl zpC^xFqG@LhB3Gbk;}l0CSA->3J_`<>UoAzi&``tE9*&T9e0V0m^2c3DoL{-y&o=pf zZ=4<|amXBbttNNqdD$Z^Tvl#?+UJ@VG?DdM{D7EBq|!YY4ESmB)zRLzzH;3wL~jAl zP|qk>@5g+nm|^#8A>688leqeMkox;>)T+weC)G!47J52JReUf(*0WA4 zLVXP}(f%WMYUCFiYVyZ?O zqYo#7S+?6i1K)WKFsrv}{?~6ndH*{uyp`ZzUFa7$O`#spEsl~VzEK3zw2rwKxX~y@ z>|l>BD7_hR-{uxME#co0Ng<>8^(WJPt&S=&%WAS3=k2D-y5jZz6H(r$^uMkvLe>

O;dv?w|K=QX8MgZ8Fh`bQ6{ zBm3Qx^6!&3wvtpecn(ySqRKdEGyB1w+olr1Hv=yNZ7=55N7Op54a(b1UASfiG7Goo`tK+f3CcxQJ7R2gxkQk6I&`~y;V zzhJ|Q0B;`-=D%v}(7N{kCvnT}|9QIEup#QT|8z3{w6CO`CfuBy(~4xAG<^fJ8ytp) z^=>r{Kj-)dWmCuv!6V2x*OLr}ULN|TJIbnNS6HoTN`ku<6`tVK~(&FvSSeZN`FM{3Lz5v_azZ`k>>$!W56=5xRK)X ziqEj;MUhG(Fh2>CAWE?S*@IWnm#i?bAWQ94bfsph!s;3QHo&Wg(+^u4`csuO-d<6B zaWL|Kb=W+^rWB!$lk;@;`wK3~?!Ejk-=AS5sJDD0da)3ydZ??);Sr~CeG2(@wQpoT zeeof)zhc7-IXhSIc%Xs>N&VWv_ro}{w4Te8N+^crwE1g*eQNOOR#)w5G4|IG-7V4N z$_XUx_bHvh^LMP%xp-lf`4pP#nUv+Z!u*;6-a?p_0JX5}Beo&HsW${T^@Ejs?DZXg z4yS!Bu3;}vodbC{IdscWUMk-VnbrFRFh0ugGvh;)Q!s;*5zIJlMDQTKcy((g!lMp- zsUN6*A(*@1KW}Lvq!*lN%=jhB8@SRJwojmE695kcU@|~@5hLxhD++vx3h~1a3`A!} zpZrAa@6NU45+62f3r1y=Xh?lIuSArS&h6I^-5sxPi{@8FZ-5~k!4)4-_3Vfp86MCI$59_EZzgxiE! z``dagatxuPZO@Q|*A$dN0{qtg?`+3zcQP4vteRx3=QDq7lmb=2LZAvr4pae$fAqTl z{1x_C7ZF$H!p9XTm;@k-Zs-+u4s3);NXmq4eNUK^khG|h;>;%i_p*Oren_9bD{L2mD-*WrAEi zSDPs0L9PA6bLvueXw1X-&=-JwJs4FhAJ~B-h3US zpq!T6r0Vo3S9j7>XlI7kB`F-iITU>todW&dZlB%Mte7ZEv)+&}E&EP-4|v!~*s}L~ zv9RVYv-it9TJgmdNQwOX_1GUobj$kwm8x-&2E?TA3pmI;_R&XhZ@T!<{FTn5?l2pT zzDTv?n!r;Wyr>AYr*XG7(w|ysJ_*JSe!`AgP>LA5i5|Rh`EsyGD601NO~JChNjn=A zj$jO0QGJ=M=JIdaN&1soVm=N@xheDhWmUSRATE?OQYAvqh#mur@I{@df(XL`{JQ!B||WprseUTwj?qq<@|Avr>}i^@5TguF=)*Hh?S=)*msFB^?4XgJzu6|Fwjt!96TMpflt34;+!TW8={e( zoIT=*HX`t#BjBgSW3(M>zRy+TZn-_0q`%BW=R5dy%U?7}T}nA_P4gl^Dv#26<5kH4 zHplT*fTv?l4;|;b`zwbR0rvtql6#6}XV3Zl0Ji1qkGY=+S>53cn?grGZ^nv8#K)w> zythR=zocK$S8{remiLMy7d<-Kn)aGc$LjWjS9B{$edLScO_xUf#2z&qX1iL zwC@a|RYX`o{9{ck0mj>#fRvpDYJLNFKu~zQrVk)YH^N%k;-jC>;eKEuoZv+W)x$!5 zy(b=PdH%jIPe3I%Ekzt%vBKgT??B(I11E(@aL6Li81mFxe0yQ}&1%ji@4TS->t!nz zQeMs;p=Mnr22v0YFRH)i1c9b&jVqZS3u>4DqJne)6)M0->Wlk?izG;l>HTGr;AeKt z0)xf!pl9(nU(9RWQ%|uAYOKpEG+c|^�FIco}7cs~KglKMZzh61XOM(>?6a4vA$G zoZaHdbiF$Z40>F75%IC}eIG`}fsr&L?R>I$IfKt~%)TzHS<)-&gpN;UZnsmWuOOE4aMqpx@zXKTf#ct zcZ9)e{@8Y;{G)t4)wekjMQROm&#|AncHBKRTHP`~buUB83?}uX$~r2Pr=XMxXy<8l5UDrmFno7$H*2z^p7_IA=bV$AvkQ-~&DgC%e(R z#vhbz$x$bOIn(>``Co?b49Pj#`{oDd|9M zI2`cgnBPIA1|8W@kf0CXkLthdKv0b=0u~B-4Bp%8Fy!l@;x!+k(Mlg@d3G1yd}O*Z zYH6gj2zZaY*r`*e_o?qm{Slz|(?LRvzc>Fcu?U#`JA+ciy<%DNw;@2N# zTIJ;5vO3J0ehL>eBnY;U;s0e^+)#y!Zi9akv0WL!L&Xe8AoPR&N6r$rXYxsX0uQ5y zM(GtBVj#pYh5=m@|MUuobnUNg^6#d8gc=etodHHT(kB|7F`#I@@>GNa4*Z`;8<6rf zXt5I*(|;hu9ukT|v&0!9i^fB<#9+|3=)i2ym~mRKWFwCbc$pSu_{*f++mp~zkdUBC zpNoLQ+F7nz5_t%lB*ZX0ph_ip*~^J?D3DpEnusdcFb8YMk)2+@=o5qfASYhKc)9e+jqBqA<52ER zC~5Q|J`Q{fWR)T zOw0M-lqGn9aFg(IF0yu8Og{tc_8E#5eDuQKRX}`)>^r8k0MLdCar8q{D)OUT1k+P)8%uAUY#9k!Vp(}3i^|Tt6B7!!xK4sm%aR4yVsE~xg8zkE#BOJavLt131}+jpxngJnyT)%{mTMm_!Sc4UgmJLlL{@Yb*&j7 zy@dir6ME>T89JPs7_jq21s*09vonp@D>2YAYrIj;T}=LMWrS$NYZM%Q6OEexFY)`o zE!2f7O^QGHbI6X$Wx8pbDsUr0;YNFvEPlwa0vVVAO?k`WJ3LlCsE?z~&Qtby7vu^U zrid_t}m7{@*E_hK$a z`I*L-N_y7hV!-A>W6>8~a*D760Dkk>3zhq1eLeuZX<0Q|pW{V2!3&{w@It-~#C~*k ztx_uk6gL%j-0Jvf11~HGPRL6RPF*D1jFA`g@CXm7@mHY3!Ws#(dMY0{&EDC&!3#g5 zDhiVF0B+f;WP+1#r6G!FkXDWEd<=*=EdMGzA#B$CU`x3m(!H%$=^{8}7YPL}Rf&Mc z*cy?)0jdnm`>aV@&}Ba$kJCOy>@Z$Gg}*Q_z>z;kMfnWtbBziCzpNzAqRb$H`=<2= zgB6cfUeP=_1u6jbuv>pUA>2E}+&9ZT+-#-vWRc@Y>?n?|N2N^-;KSf4W2F5{wWn}uuU-amJvJCzG z2h=aEpK%MPH?KW{s5A&_WS*|nR^d-}@B}0Cp^6ypt3H8bt?xsp~WZAObLFfl>jmP1aSo zSjA=V>12YS#|O0M&2y878p8|}+-zc;8QPFSaU#Bne8oiAp{Ti?+b3Xg(biD*W6hA6 zXE5l8eGB&{$Zkt4BRTu{j!+#X#Er_{J)!0aVK_0{7s7>~e{hAR)-owa1717Qls&gN zjFuGgJFV`-8+#Ea&ZisFy_=qBik7u_<`6LNoUG`zu#+ z_wLnTnajoOs==P?1F7TbMEeh=iyD5w1SQEDuZ#I^uSz-a&Ji}^C2F1nTV7Z4o4O$%t($sW}3%|9_NVz|?EgR58{-88G`#inY`Kv%Y6>cNEW(>RFW- zJ?dJVp-J6qRb1xktuGuhFRWWm8E>830Y_C0Cbm?+!UnL}h|y?)iP=@zk0`}Pz7Y`u zI1aV%>omDDq6Atr%9QyjY|P${fiIs&T?EK>yC9FvtAfeAuovQ<2dm*>Ss$&FI*-lU zX2qS^`P>aLad9_u!z;}w%z_OWETB^vI zJd}dV!PXM>EpDRdo&XB@_bfTGFVW%w?QXnd#-0T%9y{4Z>M@3vKH!`M<@?HAZl3Hj z9@z_NKrBxJzt`-yh>pf+N9cB!_GQ!y)PV;h>;j8y6{7Lq#D#~M0J^wCQ3M8w(6Vk` z${|46KM-bozzpPo9Pmx^b@BRPk8t?_%qe0oTS8#b7=gvPfo}0vPre^_z?tdw$}gFu zkB}W=Z>@o>U=d6z^4twT8Px%lu?$TeCp!|kEX?iRzGVg-54|j%MI>PKNp>Z7`!do3 z2W#-$#8b*_Y@-mJ?y(-cvR`FOhW7N|bahb5&cv$}HEXj9eGypC> z;Bn6ZO#w{7J{a=M#HGB5BYQAg{t?6_VDVnDj9J;8YKo>BSKgPZo_BVz)Af)gc|1Rv zTdp28+7MZVZ{uY;EmMSh65$T5IYldF0gub;wfl0OQ(nupi~9Zk63SoDp*F|V#(9Hq ztb?A5WcOOC8qv={y-vj73hSV96#L+4(5?2<>Xbxv#ay4s9?HHM%(ve0Jhc5x)Hy^@)ea=nqL3<58?Iou+B2)w{$lNKK{Cg_+PvG|K@cIBQ;)ioIac$ z_aA@H4Xop5;;6+oO@pwzw`)HgUqfO6=|LJvNNtQ6+1N_0CSZ)K6}r}Ju|>?D)r@UV zPzauoCmUjhK4~DNRv{3Rw!}~lUT(7hd0M^+rkCMlj*gJu_`CL3;+Oxg&R znNS5%$i>W+I($)(&FGBrz0&5+#0DYuq61cP7S%Rur^3x?>uGlthrtp zuT3-c(OY>>*HmSq=V?mb8LBN8;AymS-4)Wvp&4}&OP&H-zE(xCSu$qo4D`uAiDl$k zx#mEr`I0N8ymeiL60wAXj$J3r&Cx|Gr0K1&_u*&k*3xh3)L;W~!L93okVX53C#Z(i z)JS9?O=QjwZdOm?AV1q){7^uNFyIv2BIQu=?)K&(GjnI%BGn-@<8^FOk9)m{SL>$R z|9(kF!>;ezl+Xk}L(EO00ap_f^h5zJ)S}%;APc{-(QbUN0r_Ohq3z}N$7DrJ_O+XD z88g{o4iwD*^1%q~(9aDaGf_BtTFaW&%|sGED+cO3g`IThG=77?0jcNl6ytl0rRnr; zCYX1bbwL(%JwX-Ge~nlukjAH1Tu@o3&krF!?bhDniYKUniY-OCB2opMisN> zAYC>Ce#Nw;(bz-c6!tvh*j4THGw zfl9Gy+^3q={`LwDL?lYpzjqrgL6d|WuU)3_1+c39Uq@Mja?=cBK#cmQW{K~=*bGBB z*U;-{6OVx4}+o^ zLted1MBJrt6Tg?pjX99;+MX&OcITX|T+?;Rq*z<$T@i0WnQ7oLJ$6mX{kG+`Vs86* zm5wAje@c>80ux;ku9D=)v97A#(z?X(j+|fYXx$ZQl2miKx4%)}j@l@Xq}q#-Coxj5Q+tIv$;sfUJ&n_hJ>77^C#Wk1r0Xuc1RChM=1@8p* zn0+e?y*VusWlSh7aW(t{v$IFVoI|vcbPp^}iQ= zT&N_QOqArn{z3yXNA3nz~H9H-e6lPeGOR@10Cl; zox4h`sa=85>nBll@~prjWP{D9ulQd8gUlg9J~Hsdu76kkk_=UQ`$-x6W+DJI6@E1~n7XitQuGfrYPN6Ze*F_PTvqgFVMccfDHn zTF=piFH%JYxFkkrTQcfJ6G{doM);;$*4;r$lt$+pnvBOu`Xojn5c$P2pzS3~Ug)(c zxqXo}jSDmCrOhOrRIrWk(SLW|I14=C-b{{zm7#3_4R{RZQxdZ}DsY#j;{eB`E3O&u zMqB0S0!qZ<8+7a%LlxQMmavAISTU@cjM$l2t*kUt+&Of8VOssI@`0n;&AU}f!+=$B zy2E!a_olsQv~7cdycARJE^Ck*I2$TY`Ec|5JV(f~b-b5g7EWgo2C9747) zfAqA_95UhivX{$LX^l>8hP*^=*oZOn;wXKhjF5rkLnw|STg~+g!9MDtCo(UK)l{xI zzE7@sGbVU89I}k>p~!aaX7`=}2m8rtQ&fZ+^>G z$DV#_#Z_Z7|9Iav@5AzbyhvK-0zA`|?s|W42_X}@-JS`9w zeB%Xk`jH5=!GU~u4qo~C3>4?b_|I_0~oy6>&zEkdy$S&Xhe z8d9SfK^5|7mOghDa{3xpC(!m3ipOqfU4TE;HVXObNYx~4Glgs8%7eQL>NM}|#gQmd zi6FfDAL;Dt3SfhTYFnW#T5X{00hTQiF3d7-fXDq^ILqxwo2T1Tq5mp_6iui8MgP@( zT7v};WThFg7C?}-XyG=wfFp{qOFC=)RnZ}vgaz+jtLF=_rt|W!dLh-RVhc_YKBb4* zVJJEcvV#0X2tYN@6yb{&z+z#X{y~PYfQPO>4k`hQfv(G`z!xQeQELnN#B0cm)|mYZ z!L}@pLbF&?RUk=+Tjc-mm<4Vf-jc5m&#TN z?%U3nJ&2Fp)l)D8Rp^s0+jjV>!;C+cJy=i-in?^i@33#i-|j#DW!TCJ+xYz$w(;oo zkm%nub@39(8hg2k?qbS@jr(`y1+r`i>KG2}WM2q!gGUQY7n+ULaw-JdA9AV4f{Hc^ zjTxMcJEs2j_ddN#XZrhM*F5ouB>KT$mUq~UdN`H6b)!hkgwjy z&6a{cFg|4Rm(+92vKlY2%8f}H!LCMsT)`>6e0_bH@>%56E{qt_j1%m{vyHoavvqhC4UuOtyqjV4*NMMc9qm#TtM~Or zj>ge~jxXt{-{xn*Zr@jRxm$W(EZwSgEOKS9yT&W{;T2iN3lDJvz2En7#t-BA<5b5h zl!l%q(ZxP1Wlhl;TzXP*xPBbLQeg=uyWt^mxW2b3S%|ZIjgK)1)#vSJ3d~QCJ)V0^ zdTF_o{)bL}+2CEiLHEy(e|O$5ETOdDRthO&r7x>i|ItS^Ej)Yfhi>X0tsoJvu{?~C zbdzT&d&>h@L-4MlbUj*&m z3eUPTZ;gFUiD5D#|9+eQ%lh#4SxO>xo#x8GJDQaJH*B-=hH_w(H|o5R!iMn-Ied(V z{LY4{?H#`w0wtcSj9@5&c?2mFp+9Pht^H)!R-UKBobvXX$z@AVHc0$zd>9C@HKro+ ztv$$LPB|Z-T;X$@n2JIma|Vz1(*`DbhL~meNn+5bGHaY}n3EC@Xq8aSL5ECszmjx! zWt?niX=2_$-2m51iDhn#6Y>f{YnaNjp9Qz zzJ`h)b76C4CTk|&9JhPGz4b}Dy#%ETZtk5m>?BnSda&6Uh(%d(qk2+=tGwoJ!cQ-V zqRmfVYb*U*Zz|X+1$S)nTD9$pnak z%?8_IC@+JvUx1mVlQ%7pcULl=^lu=sNUKxYBcmDXws%>Ej^! zGTh%)hls(X+Z|58DUixwH*7tY!%O*g>DC%ka+(E4Z8#iLGVf)yMRNj+{I@z5`3`1z z+P_t5vb*^{E}z;8G#zLg@MMv^qI+RZXGow471+t5J>fR-tC&^A|9u57AHw$HduE>lo|Wui3oD6)|74wRDID1sMzk1%U%& z9^j}6_K_kW?N(-O+}+k(heRYWo()@51UQI^$D;xY6_Y`;c+4+Wr1X_$y)C9C3*x$ne^~jsS|2Sd&dF23zrUyYzVGOCXCZpr*Y34 z@_~;Hj_sxecEDO++^p*mfc!u1H(>$UNPl>IZ1{>6{FK(T%}Bxr5O+9y zVuuLP$-sNKvZ2m<1$TYC_~IA3cwb`!#c&^m2uPurtcC*ndiL)T#^wuF#R#*xq| z1x4=Kd$Y>i$Z!56Avx$$|ea!NJb9Mg$;Vhl6BAt)UmGp7+2mN?QP^i z_}IA5=*U&L&$wH;&$!P)j#O#Kwau9tXSisB%7;CvK%bC2rC{=P$pp3WDR3s&|KEXy z_&y_#nGku1Uq&3zX9_@{G5%E9XXHA1!-d>beHX%#5f_Y-8kbMF%anbiV6kuXJx=;G zydCp*c4nOS>!^K3mo;AC_Gw`c!doZcAVuh7TyX2hxI<{1$nljY*Y-EzX%DXL)rcBw zbp{+S)IvsUt;SsyxGWh6#|Y?yM(f&B(bzK>oVcJK*@Oh*d5I0`)C+;G?`j~vsL|Aa zlbF)^I-(nB)8l}hvZ`id3^n9aOgMB(OY@BsvL2AIc8OiAk`oktWKcYp@X_H`n1o^|5(*Nmb*&NqSiDtqAU)6G6I zl6`PJZ-fLf9i@FPvg?@j=1?35e{z+GOVmEQdjH4!31Z9I?S{REc5MHi%qqlS!v+SM z30l{Ji9))`aFCx0i^2Jaj4H$IPLtuB39;6Lz6sJB=Wma}s=@4K^uU0lZw01ve0PH_ z-7ouciMdF^==HlOI*oVekWa_Cv!&u)z~xQ1U$;?onWNA8#mPgV>w(g6+aj>@egy+x z$v)|}&PJ-FjsG-@Y`;ie1~kADazCV=2Ti#Ok5b1UvHL~|<%0@ujs>n|eN0-x4Iwg$OHr#ZO1y>rD$$?}lE+*ri-%63Yd31BspPfYdFRkp-!Vav zy6;fP8~?g5n5Y@&>T=mO>{||C3XPG9^Rr9!;o>S< zqwm)%O!Zle3;s>Pva>MhFy51k`|d)jCi$m&!XE0E?!S*VQExKVv(`FvGBGgww+5a* zn7&h*R?+|`_s_=gw-jQr`00}xy!HF_<@l^(DvxEuzD38b1#LIORDTy5x31~C$$kMd zUv1@2B-;G^AH(hfzj8O4_G1?G38OMWKHa`#Yf6eBTt$;s0mlxpX#aXQ{>Py~@?HUq zBntV^!nX1h9pZV6h1jlKb7}u_8bh%;!;H8C0G(@fxGWfyMOk2NtPO?}&vq)WXED=q z{>=pjIsl2STFJvpTfs(Wu-$@8zI^i->#@gz`Q<_?IWVrG73_qY2lRj=qjEAw0rFAa z?ocLO`bAhf+WMA8%RQjC@48~ZC$Yoh-A39FfBhz5heubBF#X1}bXFAj1BhxuPr<72 z90L}kGG=W_#P{WPD|*C}W4N)9cjKqDz(^K7Bb9LGZAu6q?+63&PO%E#IsP^W4=tXW zxHg7^`G6J557j>DVoU#zy75WLvAk>*6#O-JAvaV}cjZoyU>qTk#q*EUtl#47aWSm7 z-#2nChFPyV3DM6=O3rYhi1U6Y$5EIWt{}3KCifzG$4e?hUL<6U%cF+T0qSXNeUdoV zIb_Vp`8^$`+66ixa)r072YYg_2!`4X&0^Mw7AMeCpSNJvxIUVpzuKfMXla1I=E}d` z;!|cI>6y9|lLCd%1s;@H3yW(uws=CwklC=L2-JX$b$t>HfXvX#ybzxmnH5-f>ofxJ z1SWt}_DQ}1pbQ?!8ElC3zfW&V5tom-w7r7=$RKbyNdR2~K6#J($VeaS0? zcBaX+Mr?mWU}<0ut#LijI0W|Sm?zW|luxWB$*Wn2YMBf^_Z27F+dI$iJNC5SdAJKB zVM8*LhcuTEv-MlZL!A0qv~$-Dish~Rh}n=lT(GZhLVYx1XB}~fd^=G(< zGF#j}*ZrcQIh^FnlY%}Zc@)T}PYUqGPr$yKtVGROm2sW$;+$`s9(G$|O$db!^FYW3 z!7DEqK|Y?qw-b|<)B?ICBMImw1cD1g#H=L+=-9OQ6!Xsdf9Rz3+*8=e85St+6jtr^ z4`kF<7>i9lZ)7a)t;YP$E~_7YWkAZX6p^f<6h0(26`kz8MM-#(Df5)!?3D^3QMhtA zDj5+2Qk=Z{pJYQ~hI2wjW^~814?>tRDly3vfe0iqT%fK)jv)y%CjBcRLy(AO z_z=#|;A9u7+vsan$!$9Ye}B*XTTCXapM+jQ=Y&kaORk!lZmV>Ar?x70;@eLDWqguG zTEmlqpFeU9rQzF5pg1cS=d7l-e>}8?GiLr7XbAx^Bu7Hm$yMNtc%V1|*seP;P!*_B zI*NAZfN_feqyGy7;6R`0KNK5ohq$c^5lbtM%%Ig42%J$I(MPdRQqYc#f=ZB))~p_^ z8vMPE9a4(}a*rj|A{sRz1Wmn}5Al#!k)}nQ3ThFdv`k};R;|Q9DVhQv$>Y&=1Q z7_vkbEu5GTvw%k=KnoHu9KCIOH5NT=mBM~BNp{4kJCDVrJMU1Gh*Q49exy5JQO@sC z-c)}j1${^MA+Xb($9$TuATG#12l+F(FJ7N}4Y6}J2K7O~2-^%Gkn;#kxFpyS?-Rl2 zc6s}4`z^*o+X#c8%SCV_@Ed$2-N#v^7_&sCL0l-Hwqe8~w#Ctn+8f~ysI?_J{ zn(X5saA9@0%Pk-S%DK0Z7rV;#g++g;FU&m9=0ArKg%k0^swp9!3~UZ@6?3U7%-f~{ zKhIbz;>aGi60L0^o&ZqNo~B*euE!V~@n!PzA1WMi?hp?ZRAjrnd@VoerUICLP?6lr z9x*ZqcL~H=~%i+3NfpENLK`UN&OOLR@%xaeVVU1Gf%@S7k>Iav@HvwlUCvQA8Us?s= zFEr2I3AzsPn|l3f?ur^TG}?G@H=pp6-t{hh)6@|~QRPQb7EpeC98h!GO<`8Wv{QZO ze)BQK@A2GdO%9+QbWc3IS{p2^Z;P^IiSsMha|zCA5J8!qE}GDIe%xQf%2^g6*_ECd z(Q|g9nIUPZfD@2sLTh|Dm?I8H*J)gi((=*gD7Eg#muo@FdK#Df(vGy*E9blv*S;4N z>bavQ;(_#)J57eV;HOP4i8S2hd66|+FtkJf@+mz9isqcs5q6^ilz$Kdhh7PO)2mHn z0Q`w=lC>L+@8yp+Qp=ZB@C0HGLrWyd+G{0fGiwyGQ-}PIU zhX2}D0By!G-Q^N&*aFciX7h76h6i=?03Ew$bGhJ~pS{zY;TtKWO~RpSHJHB!1^8|N z4gTve1j=2?a<@SXC*K!V>`CGjWFKYPV-17(=Fx$#11`P|f?cl&?byZhFFs))!xZ*@{m?zkG>btS&@!Yx&B7G4+<^KpND4BP24j(h zD~5KvX<^+Yj=T|V%9$`w@I~{4n(^`-f3lb&>gnOp^zXLKzrEjpojROUC&gqdV)uS71jE=dF31JKZr3Of zQ1?}S;lPX?PE?q$OOIVf!tm>Eg{u0Y;N7RO4$O#xCOxfIN8UuVME8RqQabSpFB2(na+yX#586!ftvBi@n!j#P52&feYS?8^`MF7-G4QK6lPlD~1w z9rag#y&a#K{%JW~`Xl%Dcwn|y*GZyA!wKl|qK5fc>MUxnzsY^!5 zuwhQ4!XQ;UnA4H~$cM(4TqQ*Cpj+kx-D(PVeImLN_%bzt@cMY3iHS1#gK}w~ueD=- zix!zz_{v8q3q=7LLJ-aZ;{x)rB=X!ViyDhp{V)R${L;6AgBI)t{J5n}1{MjdvH)KE zTC4x+Rsl_iL>{XYoN9YqRrL44oJ2K9a)yXQ`2$G*=ks$C@MKt_8KuD8yVu{Pz`5I> zSaK~z*y<8{*l?SBVpEoW2c%l)3Mi#&nV$-OPCoK+%t0->__8{xlHhVj{_2%PZ(a2L zG^v*PGkcrsqw_SqHTXC?i?A%j?VR4CXk_uq9_|;Fd&u*y{{PcxN1zs3E9&lC&o+CR5{W+#!IZ) z-i7DIVV54r^GFEMenq7MW%TGH>y+u<`xej2`HHfmnM4}+vFN_A?3BS#RZ6Ej5=`XV zr=RQBPG)Vm8jNH6&@-Dy@!^p#sia8EZ@gqP*5rP4yu^_4?f0pjb`meBDlc_ANtjSO z$zXMs>R)dvlcT)AxRCG1K~SVQuSN34<~^?P_iE#LF9+U2*xJ5m$G%Da|B?09aZR;x z|G0v5Hwe-LMu&iebdGM2hEY<2A}Nh@jnPP_5;ByM5Tr(kAky6>C0%~cxbOS<{a(-W z$6h--JL5I3UFSNV&%2IlOUJ2M%-FkJDf4O-W^#M>K5fKJA)Na{2SK3> zkdgE>woRaKDN*lO%%%3AhjOty@T@28@1{Tx_*+24@4V{k9?IEKm+R}qlXxC~BCfwT zmZcw$#?Kg_;!lY($c&>cm!0+($w?`K==6f z0{lPlK|nF#!w9i~1D60?O3;;rJgQ~soOb~rR*KV3Y>LzAk4Dbs6sMfKLyvc#wpD(v z7WISp5A$?Cn?Q`tV?{#zwYbZ^pj(=ahfbUfK;A4obnMtkDxhqUdaQr>?O-T$A~N!@ zcpSvzW8PNTnvs#M$k*sFf`^Xk1+#E3@VWiwBvoQ97-+UlL^BL=EoahrpXEw|6V6|h zN6ylT>zj4qB33bkY#|nnKXr>3YEAY!iFf3cn=8&6cY?06@ujlZ1~0z#lD%HF#9bQo zBX?8(0YKMBMNseWI*3V-t~d1Bg~ps10_^SPh1j6D=H0uoXauG;RI>J80(xo>f?@=( zXeXrTK(d^zVjx8_diu(p*X5JSM)+1bJYs18 zHKP)=Xpm1n{8+%evRA;&(ihz4bFQ6y_-6>sdRXXDGRdwg^no2IO(Hd?U?^^MT<^Y0 zG6}T`w9B6=I!;E2nMDTHhwaBINH5xv?%$}yRJ)PR*7Dtx+QTDqnR(pysPVkEV0k%N zzPr2VZA>h`5GIv}0~wWo4e;n{_8@WNBHyE8t=QEU0PPPW0m0um|`c@M$`bb zi2w{BY9W-}940v=6%tB;ZT~Uv`>2kL(t%?t-VNAFZnj-T0$mISs?f4w1=Ru-g3Cz) ztV&WBk$tSNose7>rzH@#{`FA@-Hk57Sp#`lQryn2`4pnyA&_+;HyuQK7Q{@BDbz$% zhUpVF2kO)CBR#Bplwp|+DRA}GC7-S#f2dSW{tP^3X@FZrzFkxQm96#X%8XcWbg{M- zGnhO>1sB87UUVzo#u+{0hXf{W!Qx}N%@Gp0eM0w5YLXHDh#y+`6mkp+#C{7hOvkw) za+`C&f$Uf*VcO52bEH~I)Hl%Zkv~{s)Df`+UpF^X7U<)!Mzs_fySeyqihC6pyCDhp zb!U-0{uwda0BNcn1ITVlUg#IRnowl7&p*!heLJc*!1ED1qGmUo075CkVKSLtti4Sh zMP2(l4v@}_{OsqC)P5n?j-uo1DNJosToRss8~%J}iR9 z>%iEV8)Ni4K8JECmoGl#%TaJesr)iWOor z4^vt*0m2T7A~G`phuWoaeJve2P~$So1EZwJ9TWkr>sVP28f~70a+wjEErl-PQk@pl z{0*iJGn6@a;@Ik%{{uIe{Sz~pj3dTCVu<0as$;i)xMAFMxFNtSI|9sd)tB2}Vl<9V zeR2y;D+3eeW@FWe$mkVK_tTZ6HWP zb@0^tB9%GWlU?A%3e^GHhE>gdro$j~eK}+Xa1B84hfn+h)WMuFlY{mHp z6!0^-JL<+RE#1!&QPhUTP_)=NaoW&&{btlRMD3 z2CK~ZJj9q!QL3#h9%=3&M~&F|yJR!cadkVl)WCFkYL~!yK3X!i`?JpSAI>aN{?L zu}36EGRIWC9t1a7Q#PCg4=DVx#`!2DQPq&T+THZso+zRB4Ljk`_2$3vyuY_BzMRlS z1u|VMf_^B4?`lFIl*PcLF0urNJ(lZ01AZvipKzDYSuh?=U4-gANc|}9Xd2efoR)~p z+C8+Y!A1f5O1r`SI8TF2541rJqa7=yvadeYmLVjcdIZ_9<9=X2V|k1=f*pUa;Z&fu z=&S7;j2=Ki6?75ShPG04bDoBO9duKM6US%sAn3yELC;+4>|yQGVJBycsh#N(JxD-( z4LmASHM-_ZB$8Bs6MTrJ28jlQWsm@;CiUo+I@K8JTHs+bcLHey3l?URGc=pj7~OVj zxL!+~50U&$TPOVcozM23+|rDsKrl>^8bXQAF;!{+2_^5k2W$fiT!bZ$zcR-mATR^~ zz_=2qa|qnUH6He~PpNpX(FX3D&` zyy7Pu$?7S;6)Q3)p|MHAWB?qijykhr_gyMe`$`{=_prA#nyo2tRCvaWm3#Vi8;Z5s ze8{m#=G-v-J(~{SP~ylmE$*4MsD0_*{rxvZ=9TN~J+*hxD zuA?_N-)dhaN=zlkRmhz4o}FgQi#vb)UiZ{BWPb}IPHTZ|?b@QAklRR=#z$d0wo3e9 zbE9dJ^Fg9Ypob7wN06sG8^uAIuO^0BTHCRd%_BMAO53h_UcFJyd^H_SH|@bhOkOPl z;lSx(E|uNLmlrZHt5l;keGJv4tNR+%7i;gC|4JzZHf7-+?HlBYTBY_8g}bsy zKYJH_?SQq)aPz0(sa+tx!g{Za>$+gj? z*Z#xL0irmgWkh<&GAx+XHDZUBO;q8^EQGg9y7Q(R|0oC)d2Q5?Y*{Umc>edY0{^gG znDp=REXiNth}^Z2v*+Px48qZQB(cqKmysOUZJP>GBYT< zDj({f1~ySIgI-ku%B-!45@h`5qk_R_5&(!(sSL@KYf>QZC<@upL$BJ!-NTk@w1UHH z-aWKZz~}$M49jbhy%2>5xnM`+k(g^E#ONWXy-G-3DbSTI03cZw(-dFln7Iij#`@c4 z#*;uMjf*j9{ksvhM%=Xib|2~vZR}R~Pc`MvVhv7DlQvjMfOBf8wAqzx)4a|t+>nL6)Rw6k?7XQM^ukBIM9C5!KnQ&>sI zjOk$~qKL1-naQV0yLAAq*kYxCR!A-ge>Tu|5Y|%DKZphPw^DX4S=ZE3bBU?rEtM&8 zw;^hb1DG5mx+VdC@%7I_Iu2B{~V(8uO zvDz(f_VUkZ?VibU5Mw$;z)C0}X@(p)kx7OeQ$J!d!oN+sR ztVWGV&rSP09@7m%@QIPkJG{UtShqBMI4Xk;8nf!)c5pJZ#y~5FOpJ%QmX|@*kZ>1^ zFxXA44k8JxdnS0^@GpMtOYpCV6IH^T8fg|+q4#-ExPw#p<#2Ff#~7_^j7d*pK-M*% zxW*dN{@3!ATBW%(!xa%p!WrRRaPX{PzLR`D+XFZKLxuMFt$9IPaSi!x=5NT-q_jn) z_Ws@m=a|uPYNr4h*H~{o=NJ_a=i5AD0lGy^{2)%N^UWnn{JltIh6^mNKY&DTshF=t{Docp+^W`hX=IZ2=lv+R{O( z0S4a_i=dC@a8O1EZjaPgSJXj_z$}EO+=oLU)Gu+zR!y2ZhG%6iBt|n=ih!kBUDY9B z;HwJF+S*(E{vZiqVg_KYPxq=^HVWA2SQG>M%4XAowW-_1gjhskWs!S)WzZQ?K(|a; zA<-7p5C@Qf9T!D~tV&z&VBOvj5rFj@Zvaod#8#M&IlpZclw*N2eo`R_KaEjztT7Hh zh0reSa}URB3pS|4|8s!=79-O~3(jG_-DffTEm@3h!}h?o_sBZILgFr0TTorzx3iRn zjhM}Q2a;`H=$f+$)`gsK-1?HDndG{`^Fh##I_Y)x-`8&!7X*fX9e?TPj@0f{g1dNR!0cEc&!%7X%g0Sp zzD#a5`mxV@rFd7ka3AO)9Qt(-+`tOh8offQLpOZk`tj(gT{|`gyN02C7eWw}%~Jp! z=!SE1#g4s8-RHSd?7NKDWvl9lGS`C~=>rPzOA05&YwSi!J!y3FR;2TiJG-c#Q4Lfyn5S@nLLx~F%z%sdhY19 z^%iSc+Ec#qcXQ#Xw`cjyoXGRUz&ffkVdwTsOFQGMcYm%qYp`DL&gR;3l}ScO zpfL;k#w2@Y8oOoLI04zcjuiTY45;D@gHAQg!;aVHMMw)v%6bUSJrI3 z=byyDoSDh;=b?V37bZJ_gSqy;b5SZpwkgY+8vcRit!&dXEPQd0?Cx_APH1Q`9akPf z&1-rN{9I{$>IcNa)(0QtT}#_u=gXWY=b|m8qGNQfVB&^T;nT`&O5%%SbQoRXhWgBq z%lwEBk!fH;dj$!~KtJ9fzp>a6{V}Y8e#MJF+3@k$o#o_2^$ng{ki}c6dB?AbD^q?S z;y!+#@QhA<9sf(?{F9sLj{Dl>mHSZAtZee?zDdA$*S^dUiye{*;wn&Qrj;U!@2A0MogIl>(T#cS=_G$ zASdE03gU0r6vSMNmmhGWD2Q(i(4tyR&=oe8emLdrIirK*UzIIe9v^5`jiqI2`{;ys z#zx{sq~lZI@;%}tw-C|iXlcQTc>2RCVE<=mqF)2LQwUhNor~s47Q>t&3DO9aR zxN%=Bu1-Yw+Xh;Ce62Nu1=!O^c-Xx|%Gq)AH^_5dD4(xuN##QaG~wJCx}%hOWx>qJ zdmoRXG{?la`pST?E?WSErvvBS)IsDcBSUjlKC6qEl7G?$bHr(b%Qa-He+ij@%XNPQ zs>uQ(O!BUp0q&GHuH}!9PYOnVJUeHf3>vV1x7%-x9-XsHoD}-x)t}JiA7V4)k@rZx zGKrQ-txKXcfhW4n(EVqRcdU&_Ugj`=|D`~;aoA%wiP`XYz>2zb4t+~pDE@<_&~oO> zAkl9^>qC!I9YSX#9CD{wh8GjU9XOm?zk$j4ZDO6_E;~6YbH4VjiGUn`IT==k)J6;{ zsscYbM{++;s_C-b8fB14Q@4Rz#q4qoXTz%v%CbSUS;o41+}=w@7<;4VY|bmRSW!Ys+^ zWQ&QqfKuyzLQmHtYx}GMC|)4^6P^I%h}?ycyg~$PkENw@D1?HqchSz3u+qomQ1{St zJq!JC%{D*E_nJ^~(Am~xH~xUYE?mEWJI#r_>j~@7&T9@Q3(>KD>bI<}fza|#z5|S| zE1enlb)i69? zVWfW-AEt~is!&GfPFGOqni3xtNsy}RouaFpPDIorLb$9cLTJN@#--#6JZGH9ay>G5 zF?WLXmkqsoeK6^nBc3PKlPeFJ@5H#r3Z?l_OQZ_2lLmz60RI%t52*)h74N+`{m)y4 zf=;#7Rq3;UVR|cvvY+wl@(d19iv8*|1Cf^mk&EXUqaws91)zPoqV!(G4n_O}CnRY(;nbIKHv;aPmHP5Y=-;gCwT~ zn-_x^Nu6+>C_Y1z9}?z?r{A4gJM22%q7<0>=wQ>yQ%PwZpiB1l{aCRPZEt-T}aoILwVXnl* zP-ktpi!B>yW>y7-M@yvKJbr`jf1HqXvNy)ZQopAFeri2Z!Pms}%|Tj?KtW2L!$cAS z&O3~J=>Bmih}nQ`Mp@l3F`-6%JOcUrkEI==A6nN3^>Om@51M)^0Y|pcShBv}w#h`k zxTVX)XHQQ-y3ui_EaCeJ(tIyxPkCdTVT;Ih=w?nok>srQwJV*%Cwh`Fw6|O4a;kxt za?FsXo*9m$RdvjW2!DG&d!y&CY{X-WD!kF$TYX$7_g?x>Z3D8;LV9p_Mf8#=+pF4h z3cuHEH+Y428odlOErXts>5x`8RWMB*k%7Ko#lyaS<$3CXDMCh0dsFNl@yT^dz%lurq9C?UbpUoWtD&idtvtU4@%#d8xQCkj~GA$dhp$Xhj1tcAew~=m$h;L z#s!YRlK=F@i0sxoHR$U)DiB^b92)Y^5z~R6QAhNy0W#ZPrVtT58RYGTJnG@3cl#%~ z={eU{rRObEmI!oQ#I4Y$+tjw`P3%%!C>ZP8_H+-u{iZ1>*?^Ydb_@uZ$lUOam{ zzEJl^pw-W;CU^0dzqeF{k=B+$AKbARr6Z~cM_qFJ|O(PkiLgUwu@ zxh?XYUJF|t8!R`0h6p4{W=v~L#%I5-3}n#)YJ1=nZN=GTNS0WrWf$)RnbBkA7kYyv ziz$O7vyx+U9VaX&B+}Y1^BA9hQKyp3nPZQN4_Bc*zD|=ZB^;FMD7#_seU3v?XBXdb+`Xe~9W+4<#1O2RuN#SiL$qf=%};MJPz z>5}28wHMLi)$Y7hXGUXa82WrPQq=lm_E}Vj_$qV88>_Yu3H+tF3+#2yj3_J2(7TPq zC*6NLK6O>xCb~^U*IhF>TuFaF4BEBpUuOQR%qvPFL?xg`^5>y|B}pB9_h9!Z)#zZi z#KW?UK{a2o!U<<521o8!%;YbkHx*Tds5CudNq(by#Tds;+El8{k>S*&YdOnu;Ao>TOq}4V3TWLQ=PhV6P8id-z#=7bl=m6`uo9a<-H-MKl2}7c-y?g zFEbRM+uu4yf5$no2p-+?cv|w)ogh_n-$GZs^(FDvE9ICH`#&JZIO9th>4uBZPh=~s z8ElF3g8KBWUKwqRd-Vkf4(=qy!`oKjpaoV&azgBajA3}4SQXgm=Ne}Jv|E5GZSQpw zo5vtG4Oxa(PRkofK&Z5Cp%FLvKox)FK5Mqa*jEi6mwmtA12mGAbG`8N^m&eN=yEuK zf{(pH8$kg;@ylvRN>T8Xc?PprWIa(e`M*lOdVV$JI3swflN)vE!_Z7_mY-olDJ(mH ze(HaKofAC><+Q>yxnnHeK|$&}iHCSEfW*Z@Y6S)QZx=x=g~yanW`5<}>n5Gz{Ep16+KgRJ4sWv=V1ud+@=kV#!xBs#G*-sxvq}*;Tq0 z?#%#;l<}%`F-xGaK53U#flO05YgC6yD0SRFPnABQTX?b0GFxmLBgxctn$7;8{}v`_ zb`lo;a(H~^I+~|hcCbQt)jakf_^Xtox!v?FgObaGPc1go@ zKkMFTCh-NP-@c$>BgV~;$;gLVhr+7v=^%zO;N0rKY0m|8RJhW*06~Z*2T(jQFjPlh z@27~Yrvqea0O*^~fC55MR=PWO(5no(lzBH?z(Loaa%Fr^Pdi~+c7LsgPy+jsW{8lhB~Wj-!hS3s}=V97c4SR z7|e$m_+-EH_x5!QhcziaAN)-Lpk%wV7RgNuZW~f9{~J~7n>D4bX)tObWYKSNTlq|B zVvg0ar$JET|L&mK=y2NdH+sw7&=ZTZQ?#13=lwo&rtB7H&@lJ%y3^E;`|;s7FIZj3 zHB=`T62osKac02g@=WF{QllsG$<+eHTbFfjN_Lb@UlB;Mny;`FJIARu_ox@|?4PAp z=a~*=aWR-chdB(Y^jw zY~KBg7J|vy*sp(bhwtAXX~l+|8Qt?rkg_xW8*e)EQ7W-azT16FxpH@_g?*N!FXp47 zYSJ!SP@U717p|_SrT6*mqz|9wMsNJu#R>tX3h;CORIVnU$s&OS!(rw?UrkcdOFh!1 zXvP=)DssKZ@W^%O&>>QyQ~y{IY4{vGHEsown>5pdyw*_6lGXl>{QU75lF!WW=nvKB zRuMCUBj@BivmyQqYqJ&ns9foK)g*W8t-t!qU+nX{BI|Ng0-hw6s|GyL ziRp@*S0i0uZ}44y3GqKWk^J2>Yptz`L*yVAa$x31JvMwPhImQaDPE>>rlcS~*rIy! zQw_Zb$!o4VJ8|j9HfEW|lpreN?;v3DN3y)#%$?wuX@%XGdD&b#Q(f5ES;IR8$|uc( zT-Xur*Q;odXRo~a(c&B@N`LPEjhmFqRGG`%UcpGze6vKj!v#q*;+Xob3!y26sd$%O zDl`#C|H#fV{J(|{oFL7AP;+ z*R;~9FbWP_0E0yu96V(RH1%+>iEb$?p5$-E zzXp z^g<7)6EzyB`TW=S&LXq88-vPIr;7Zt_`fplw!X2V_O2%^^H}HA1liY~78FoAAHG=X zE3*6D-fhy|$9{a>)N^$`XwJ<#}GMn+~VSjzO`${< z#n_t(t$5jK%$pZHjopt!+Ecy+dU%>5c8scF8ttB+BcHZsr^q+HSaTP<9$qH~l(&OS z+2%Zv*q)lrYam$ zl%x>ED`42ON99m{L#7;Kl;Nw+12F2i;oOZg;B2ao2nTF(+0bg5L8h*}ZyWt4_rqb8 z!7A~U!A35IU%5oM7E@1|tl3llH-HE1%;Wn%u;^1-gmE2{b$AwmiIGT`mxM^Az5H_# zD1)8+c86WdG}RPwOGoq+F{?xdfowwf6fxb0xEOgHi7VL)+$_?qT-Xy($$n$Vqk?-Q$12ECQIH2(q7K6K z0?z$L4;EPjXgnMU+q8k}H%k5qh(7>WAX_Z|4qcWcY>oW{4rZWQ99woSCr;k*zI@0D zSr^tXoSe}1mCY^45$Hp%RfhqDFG*u=T75gyK~J#Jz+cTSpeMY(P)}xbo+klTz+7~fnXyfW^-N!=|VMfgw@9t3}BVf=_;Qs+)DHtWIubK$Uq@Z8|sjerF} zeE2^+1<0C#xw_;-3sO+^PwqL}XsL{YW_8?Tnz>z9f0UMnsVL^$StFWM}y``Q3UphUHY%Rrx>6AS=9!gRHerXwivD zB!`?Vg3K0nUAU}{Q8`gYG_`2aRCIUpDT<5A+3t9+CEmTV$mZCq%!0k;o`<0f{((zu z!%5Pt@(H~ACb|h-d!C-GgYfORmbxk5c#>?6Xr}Q<*eg8`&k2TBp|F(oHI&KxF>^Py)NdI-~Su*qXU?ys3^0L&Lakx^BG>K;rg?~E~CGRe&=t5Ybs5FQ{G z0tiwMz#pOtp+o{IBY=g{%&qHf=HJ6!U!15=R@&Xi1ZfCAIRTLc(T;fyzYcw&^Q#1((}AzZ2CwV3VW z%Ux|J@)G5`R>$IN$8y%o6{f$))bD+4Q8sZOrJqo89;R7^Zlc#}M|)rw4io4R-ypSR z$z?-19FrJh*q()QG$u0QdIGhz2AYD78Yrr84??V1o5~o|$t_F%%Q%!{yPEc%j?}Lw z)EMYwO0{F*Bj5aRi~1hKh3L#8o7qa4lWfM=l58@((7|*DjB_U=3;p-DWJy$LOpn%`JaIFvdSHgr zh<^Wz;Qg~wrLV7lI%fvcW@~ZXH$po#5}b$DM|~%}e->_w(;;IZ$FKbvr-M;P?qJ%6 zCbX6zh4^~4Q0^eC_F-&mcDNO}Jx+(TnK~0S$XJelyZZO@T@T#mV zCDG7Mf9}4*c?cnEcz`zs2KmpH#*jkR*3S|)e< zc31ua3LB5|HAvr%{~~Ykr#%80=|w46Mov$Wn>r#6xOQw1 zwkoo|4{#Tl0bS&{DzXsB25AQZMHXU>Ub_wZZtMr^B{mAED<;BMmsBz}22*JTGyGLA z<>NDAwT*FksX;?OyDa6DcKMh1waK;)Hg4L54MT;8a)f0%KMUYAI zgr(tXRi9~c12bRssqoR>9{7lU`X?_mn7@{ak?v!IWzT%dWz)~3ug$;Np!ll0Z;B&Y zt(a&LpuLZgY=1UKD0V+K^0V;VH;+IR{*rtQlVScK$i>Yt3rnis7ngGF&$l3sI zl+fkt_#{P@^lwUKjomXCyirepF_;?AJ91(Y-NR0cvVgmI!C{89MaoLt-+@7OsNuL9 zRFo9Xh2kBokay_$L+o6c+TyKA@TTD^p9(0B zDvbV(;vz}<=gsJJSXHww!oUbvUU!61NX5Tbp*sl*X%Vp2E`Lxl#j;%Cv@l)Q4=2^6 zsOYG$sLk1?7^tvtYFFH7KAOb_y^I~pxD%lODj~!#nx=7kE|!|ucM`Gf2bQe5s9;gjksqz#I?1>DPV(C z6jW*76hU?1FhyoaeW5aP8Q@<8F5jYtaUUqP%^{Q<98ND?;oN}C*WViuk&K9znrapS zvO)~MvSRQ(Ala+dR5P2xqDhuS?BTi6b1NMJMkv7(bABa3kiAkD7HzQNk&r0Ib@rX^ zp-2yr2{^^wvtdKC+K6^f_-;*(ifLr$OHcG9cTRLcIUL5=v3t-OW0}(!3}}tMOd1fF zb?bS1zlk!Li&2Xp$zKm0+LUD^BlV#s_}WkFJ`KN`4Ce3p*gl5&T(l!Ebc|KN1Ju_* zM4rSK6&x_neBUJJ@x}SCzTO%|slYeo0dX2#1<}4=jt=?WrmWjiGckO_zM%e-l(-Zf zfUmW>;>_j|b>x_1NvNk3Xj|^J9#k21-C>}(I)G_Tk{NbCMT|^`M&1QGwOcnE{!Ujg zKrfz0<{IsaFEPF)=XCe3C9; zf9+Y|B%_qWvDQIU0iF}r92kfjQs1VI98U)jgq{YJHx?Bqrxpo!kqd=ssAwa!wUFbE zKw6h&o3P!sVYb-bN9RXDqSUpnKj5FvzEb9`1pZz#cJ9%CWzahH3_l7#_W?MVb= z%BhgU0ak&E_PB8`k0|ZOr_aqYy=(`k=U?oU_Ec7r)%6?Ljq?mv0uGEpaS2+tcW67w z@)+Y1wu)!7*p*t<93Mkf)xNma#JBjFt^SfXOf}NEVyOwNEW?=N!UBH`bTkN|*j2W| zup}|Id`N6el{R12;b;gh9r~FK&P&M|w@)n5nGuE9MigV`O4wLd{y> zD+A}IiDL@=rKEg6NIU-fmXvHa0g4ccB9WbDYWq0{?r%EDdUM6pzlJVp2HM|vV#+R1 zlDxW_W!n60$1TV4gFqk*=4xKTy0GT{T!m7MW2%q_6#EKTOGhMhIiu%E`t@*FXgIA| z!xTw%#^NyB-aTZb6f@_aP2Lbx+GW;37_*`zPIU|5zmvqcI}jk$#uupcb@ULdnn<9y zH{AhhtD(RKK%BAnn>&>R=dV{YtQSj!QqR#@#4Pd7*&Hp_VS-Z@Lio?WwQ*;g^1^ zaP43kpw9X|7Y_`SE~3O5Lb=UhLJ5>ku?yx>cDR5N3b`C-oKyt8DhAtWX2Mk74(zKA zb$Np1#?|32=%OI!IM~CN{hOpn{>#=i26nk6EJZ4KB_8Bdn+x*Vmt$%S7c|fWxMYs6 z`rqOFvm)OZ6yJG8yFA4}GntH|hO0;W=~L7N;qi*kKi;X$P@=PGv%sMLjcZnz@usH^ z+}N!h^{=H4aHYHRrmqMqu6q6uY`X3<7+za)wkeXO4K@$>K#IwfvSO|bJ=(c!YT$e$ zWqstTRzpDEpZLsxBdD@W`*x&2?qcM_&#S4K=SKFsqRDJLdL)(?oEv=bI4Dd7u}DcZLiM)vvFkN(US z-NU?6Qs{RV&! z^TIm)zWayeGH?Rm@g1aWnT_g>*Z_*|VF`50qi&hYuEL9>v$O&`>(1l;ev86&xOl?W zFx5i3HEWbhisu+Gv;_ePesrBX4u&hI%lB#mkAft z`QrUJg=SaHsK@Ny)?bwe=pwWkS#5woAszVY0Lqfdj0Q4|D29IU1LoCN24HnX1#*7L z0jxNjpn-X~i);>T4JZPH7H$8dD}HAn#^?!QEHJpAx?#p%lFdOK13 z9MipDTC;um4`wVoeAg%dLaC*&ZSgRmFpeGD1b`?Aa)Ff&IDu>K7%B@}z>Kn#g6b~s z%qUEt*iY~-4q(AkcGS5`UEmIQ|Nj^0x&@X%C+;FNx;v*jJJC5Qf$ovAzV_qzDJP{N zca9Tx%ah#h&VjdJN*hL-C1LsQPCY{kyqbr=o7M)k#OT7c z2V=kiKY^VBlC{JPOq99wwFhxHK#tna^&cNMvY{puUtY=X`1QJHW3uI{s_$NWX6FnP zC}gg$Nsv{Xol;PYn^aK5ua(j-&W?%w5_qhDNi_ui3i#|}0iV4a;IrqfO4T7RxJNpq zsi5S-&I~g3Erd^{fs^-Cj$d^Ysl8e1;b(cHgJ=T)FntK+8-@3qLZ+K_+9T|7+9PH0 zQqM?UQoP~3)IZJ?KK7vZ&tV?cn<4i-n9J)6bH7r^%_dSw#*$@B)SDGMyVv~)Uv9R^ zH@o-Igv_H2fnMJ(4|?|;W!jC}9t}b#GeU>iV=nXHe)|%<0IUEHdULb4rZ3b|O!?!M zC>t#7JyMO7T0GbAXev?IrQz+6Gn{(n}p|!87`mO1kK){DJ#kTLU}5m zE8AUx6ms~T=>U9L2Y&T6n$~H?;p+=pnp}ZiH^W@ui{|>)e-$d?`-2_9_h(2Pi>3Y+ zpw91?sNX0u&-tz^+a+SJJr!hry9fvhly)`wA!$tt?`L(JKo*8>hv})NW@~BvJh$&X z2bvo(UW`>twU)Af+lfr+~rk6Ih z+w!)W{znx6;Hf~Q(XItI3NUr;M*;t$kegxv(gwAI;k#1|aQIOnR1~6v=u-X<_wSwC zK!LG{f0DNVX~92#M8r-9TCK6P(}>`!+?^dUoP5Zr5OFa0^&XSEe_QE`HPhmch7bdIUNdgj%zv$k4@s=t# z@;>71-Fc)FzyD6|a6cI8Yf7ERC#nXd1TVtw9#A&NbvtR|6!MzQ2Q}Njoc&Ik zEvkevM+R;9KX;6Ei>cek@eZ5+BJcH8cZ}n0|1>>KgryfhA*8*;^)TTy=h3MdVNw3p%dH;rwg-)v5ZQJM!xW3xsH zOLoCIaF=IMu&QpL*aX9&Tcs$S$r*m%Fp6+`F$ClV1_5r>x*(3JzX5l8lWZ7QN|Oi( z9hTzUS;!;d->9KsJQm*lmXvbEpYVBSAshGe&Q41WerqNpq3n<6NAKCV_vjoumzy7D zeLU|6UVXRx6^`+7l$AS&jVpJd02xzL{T#6zDX(D|e3V()Xl0N>K~+r&qX6g)+}UqX zAZrVO7RGPlG4h^`i~kI8sOTbb%c5})o{&)(3$by26j#?bYk41y%e^3_rB5d~rT|3Z zY3P4_NJeoWwZ2Fn&^8r}3T>l6g|^`Wp=~j|O)*3*!YLnot|YzPTFfx{!qKEjTJCBX zwPE<~QXbr8D|58;M>!Cs<$n18X>$HKak%4k5xr1qzBF>?khf9bDONVnO{=?S$0kQV?KIM6CnliCy;M2GgFGnWfxM8((O1T{&e9qr3=1oZ+t-o*5Q;OzZ;kd% zJ!4TUli#A z;oSeMlz<2dwJ@%80KC%y(@R&#H)XRaB0`9%1#z;$tLC)`*whho%-qp(MDtq7y25kK zE=x@&_T}xg^enOpF_Na~PdR-q?!FyLQu7$V z>w(%luoV;t)e;g2709ISP*;z$8g#K)RrVMdK#$F$Zt~V4W09tRZu3ASgWA{aNqiT! zAfI}iS^fKH5~x!KbyI)=8H>sgzd$G*@|cXpEkJiQ#y}%RvZ+`#xu&}qyQQJNg>FQv zp+$zKVGZM(%VSzJTVdK!5UuAl0~EoupR?i8#}sy*mQG^BNIn_=L|OIf>>V=@;-MA_ zbH&d81U9t*0`_Qi z8`>QwhmQ@yyMjaC{kJM8W2&NVj|e0+TIuBQK45vx#4%Ng1-kkD;%AT#5EiO?G}7_i zyeW;eOxkUh;%Q>?m+5<|mc?^%`QBb_&tlXC>Cx&0RkO;NBBfTU?{X@Ap!HoHCpRaPRC4cF z2xJ!NF$GIc7d8!bqqbK|I<~HVAd%MdDQWSKdWIEeJ@7nLjH5K;IQroB`Dn4)=xVgI zY6{zaXGO(jTBPd<4-xze<5>aNvsaXZk(@sh9{>cCivf(eOdHXsfqEiUV4(vP2i{a_=TT9zWuO2^X*gdD&ys&Z+QEQib5)x?bKJ+g zgU#m6pPwy+1lp|fzV*`uzE&KFg!Z?GH#NzxDH z2dq~GN*br~YgxOIqass8pWP98VoaoV2e04Jg(Y9}qaleHST3w_VGKQ>3+se z4%R;J)Y8p|yLBg!sENQ`B!odBM57@pb05Ab!;zRkyOe*zC%ll$)PNB-oxIvnPGj=eta3a92H`0-Xda1B>?^qoAGLh=+gvtKZcbFiPju9SErHVSNi8BB!nWt zB>{yVjxm4GOxU}&iM48DD#@1NJpx{Gv#Q$N`juohWA-7$-ztfWx60*qs;_R@(5u$h z_Tned2QdIKZ{P-d+>@K!M2XG`ufX9lX!{0m8wX|8`>YVkYXGpTV&Ds7_{Wh7c*Z-) zKzLek7qJ9bC3YP4r8 zweG^wr{RK*n$_e}Y44skx9LJl`XI@k24IA4aXW-Vx7t3AxmF^TJo@*C;y$b^Nb+Pk zBu0UY=|kFN-E^0VjQ&-C58bk6To;LRSKkh^(sI;iHYGVGr9z=pYTqG*4Qn$~bTVL* zqTV8B^7u-1cDP&-l{`_ysWkubJ#{q8ifC}Mo1`3&rjLEGs7*o&R|^l%6u^#_2uafX zUy*G=LHd{sfNMy2_@B%+15{>PS{x8gM+b_czW`M2;>JfJb zvQzc~v5CO_MUYx3zdkDP5BUFqus75I^>QFb0UOJl&XEub;{bBp#@)bE3pD>uNbXL6 zBj=!@TAZ{|=3zdf)|7Y-&P;fw_D*O9u3QpQhxLC(OEXTyI4F6C#}622hcRFmpHoLJQ(7P=T~ zv3t}di6Qlf;4I$2PJhf7S?<$HOGB|{Ey$_jx(|8hCRr3HB)F~dp}${lS2`d|3(~oJ-eGPX6kqH?EJubV1%J_ zcY_3c)I_EPx|DUdilJ{uE6OMW8cJ}!u3#`{42C|RzD!l{v-`g+4Q190Fvf7p|6rEe z53nB~2+EN-NLQKe)(85t#DrJT!O!ahY;e&ydtA{t2?ufbVz;^SmlYnNaVCr~<>!tW z$#iS>0k>pey?4@7V#u(!naG|-p{w4Onu{u;O3p34d}g-d|%A5;Z$q%f_vCN+(SJKaHEidOl3=;AFSandgUr} z_fLxdi!0#rg>#$fAzo-8<>*1CNU{ov{JSKL#TYXWPg z5*GXTpls@V)S%qF1Gw|pPsm_D2#Gccps>I#hvVnR*xdhxN1@G?Zzyw;PND526fICH{AO-sfH4_x;zpmvhfO_pZh4yU*VH{B|63 zbs5}Mkd|x!j$RH6CMBgh7I%Ldjvm=MIypPY*RM2Z0ra5Qpr`hvi5&BUsv_j__k9X`Y0dQs zanI_CP$`{t330voqd?Jz_QPYrXRODC9K%4#OqITyIf!9Sk@W+>jy9@LNymCCR_|(V zYi*Me{lVoVVuyY`u8+1G@@E_Y>4CHob|h-ad^?do04CV`vjG;@BF zPbS%hR2JbXIL?<}n7qRF&d+D|jz3BPc!DC7&MGsYIaS50Q=%%410QS)`2B!tmmEM! zO)TP*k9MUktB%+4A4^~cwWAR%;sT)Bnlh{=7m4WNhTXpl_^-lVq9X$U{O@%<(*6_R zo&G1|91t}s)Kp8qEI)xjK14-dlwgHFxMDsD`{w?j{T65VIzB~UvMcS&k7qP)(pCf0 zWy0@YJVWBme(An)RHq=G{i0N5G&WBA{g38=qdL)3`-tli8K0w*I-{d=#@F$Ls(Vk@ zIs;_i(vG~V-{_fs{mcFNi?HAgpX#(ou+jj@FZVH-K?y6LgM%#AO_PeI^C}BMv1MT% zJ^=5bI=JvS;waTym^&FZaaeeA{v9q_JH+6W^$2h`wo&05wm3ta4kM~5Hjq?ncWee8 zZ`W_5V#OhHcgMA0!IRr4|Jd-k&6lrpe)??NAAmrx7x{WwpDv4j#al&z`g#` z*Ku5IwT#-1`&GjDXW!OWIOHy-sVl5i`tB1#YzRJ+Z);SVL99iT+?Xjc_IqTYfkfJ) zmiF7qtY?q{CG5?j*iNSCF{q2Ox4#CgkCz;L(5{%r?s$<;jxMO!D*iKKCBvAehDYKe zwn4CzDOb>*D&mvJs0Pt&IyB<9eni)VIL*_tub1EHJMvg(%98Vl#0xdv`xFrjF5A6@ zjs!y8-#93aP%2konV7_Uiaez-VoJ0b<*bVw6ELA+Z45DkFME?gB!KvA{Z87zZ(%TE-d2or(yaa9|Y z*m6OYbkpWff^9Lm(MKNxPkACfFZw?T{S5d^!>(V&JO@1_gPkYn7_=nMKEn5t6}MZH z2ovn=ie4dpn|_y=ypQ=;_+J|L58P*?d2cV3Hl?Ot~?zcmx*A*TZrCWzh*IZ0JrJG6DTv-}n4R`~~ zHo88@%#t_COEYcuh@EiULu>%|Dxu4`D9Z2Ha^~(;$IY?8*}YiEhLot-fTVw;<*qbL z;4IrV`c&xg;mRKO<^ltEHISkq`qs^bO^j-+f{)rtME2~AAm>kFcj)e(2A=zE-&^eY zz-!Ap?m$(<=JwPSKUpA^bKrs}8}TVeyYfvb(q14I@w>gi+Rs^0hkww=iR{<2W!HdsakHU=c=8V3IRF zakI>;1r(jbD{$4%b}Qk6|-vyF5!LHZ15+toJI|)XAy$BgzL{Y5Zpse_{lRJd>!5UxvQTxg;CLP z@Tv2x>*h8o|&(oFU*+zqF)5RAL1d#R*1f&*U=!ueOHK#=1`!MV3eOY_|MmO?S zc>mbpD6R;IcEHY+AyNR; z5tR~AlIKWngVLfEjS%iaOG&_6Y(d#kO83u(Ptx=6f+uQ+jw%`^Q*!U-$_QVTY4&-l z=~T8UqZ@J+W+Cm$6BT$v$Ic1bI!Q2_0{Y<6YPxEMDrB`a26^Fl;k%ZaGil`=u`%;MsDi>2b&rqG2 zGO(h@(V+ClKnP-dvNJja@oQjKd!Ls=#+(S}<9Er87;~ci%)we%m*V#h20O~`kQqQj28aK+5)z^qkILc=~wLwc?6#A zeV~I|H;q;2K)D1Iv|F0N*yL_I_<&`M`#4b83Ik7zr7c(k-`UJqKS*9O8pF#Nr>@5c z1Fw{|E%V;bkXD5-rtNi%T^hAzJk~bJiVqlg%2>{T;?xfKvo3Q%ya8+lxvafFzhxVr>&b*|) z^_fI%Z3yZ!Q!EAP0sC3xg(7$ewJQ}A`W!3rt)o>?y9&pjs@Da4m3w`?c2Ys;LRhMh zu|No1?RK39C@T~gtyP%>IIso&abV8?BQt@9vaxJ>!vv{SIM2&Mp5lJGW~_eEbW6TC z(9tK1jmzV1Ltd?=201a4q7)-Mmml=?$jR{7_~82E`P#!Av zUF%oDZ(1w*{%Vq~07>-ydvIHU1hP+YV-M+ByT7t@TWoSl4fL}_Sg(`0!PcGgl&cyb zuDb%W-uHjnvXJhVN;Et<3PO50O}#zb#U*^MaJ5}ip{Oti{nh2(X&Q(BYu)`Jhh?$I z&8Uf@xiKZ^{8Q_g(vsJ^)P#Y>oA$jzz8>a)$JZ~=h=6xMRS~Lpxte1_fv|ok5Wa^s zwW#{@1t^@XvU7QykPSw2OwLyG299trqbTv4-O7YeDkkEC^%S5by^y;CmO$cGNFWf? zxMhIa4IgRjS2PJ*7`LY^unzozd}s;?CslM%@8omT47a#Zlfl`g{sLWxpGWzk@j(`l z{<8<9{~Q4q)O(W6IZa+)Mb?|Yt(dkNr6SJ;ckMoIIjBPRmnlG4ixUqm#KW6`<=JUg z!uq%L_v_!_hoRV`RL&TCYBW`w(Mi|2Oi#>L(nM)bR>1SKUaO;P&O`Bhc3Drxul;LJ zD2}o$4Bgx>IwmU8A|=wB^QbGPAH=ZCcyD&+FwE;U&3g1Q+3XKCy|TL5-`zc&3=I29 zWWbG#onYMy)O_$#y?KL^UvAlr(Y4-z4+5F9foX=J6yPv}qjjlsC5{{58R6y;f zc{`zPbaa7#KrOwshD@&ju{(9hhn_&>H_aXzts~O-H)=k%@$asht&dpstxJ2U`5p*f z0yQDaSgjP50`=i8CcWpsKCrGbsK&%eKi9XuIsiJqRjrah1aJ^`h0^)l2TL|7b$grz zGPJm(5+<}YG919+ooT+mV>soE?_5r_) z9V02WlT6>px)q)pHYXswGCGH1A7AKn_GKsZKb24P@)RQjIi_=AYLa8!u(9c3N1BwWI$REyult$g|Xs;rNDp8Y5vKqwjer6%{i9R(knFfLv{O z{S$IwSU1kiH-V=MPo^sKTaE^d!eN>PzI#$9Q;770== z73!IA5hFx@-C{gMm0KnW+~9jC4<*;{5m)+(GtRZ`ulTBN(wXl*-R^~DhvcMl`EnMY z@Lc-cGyc!YbAP6F+9cx+FwYu{_@&<~k9Rnm#rR5_5fn%M9vzV#R~(SH>U^Syuw?AU z8XJ*~!zDk+Iu2Ts?TL2vO~?;rlYXeu)bV*KgEO=tAj40#vtF7BH{(xNaFAQ;R*#td zyA}FZYAz)D195J>h0Ak_JvWWPZm+%!F`QjlHI?7?2d}TMwcI=jaN$D^W1kuOiY+km z799of#q)-wHq=bl~;dU6ERj^y~>m!+i_+o5LY@5x(AkgrUbo* z4>TO3&R_pLmoy6#w9G?gBl1yr5Xkl%Bs^G(>M*4j81v11584rg{z-+)cxaD?wjtx_ z!In=f&w61u%KI;}2|J<*5VxmOfTsZfKHs6veF`ns&w|Zy zjEm3ke_6O!a+2?oM84E5+fS83=n;%4kzB-!=`G<_oS4 z(AE0HhiU)juKiEdHX}2vcEn&2YqMpZ5(2e)xRm{YYg0H zO}CRIYpy?Gf1}c82C)!FYmYv1g5WZHrLdSy^AcTj<7jN&Rp3|PQVl|A1R>xUm|7zH zJe)TjCMSL6_%=McqseBF?Ym>h<23`A?^hwPcKCchnRI z{QUwcw|n3WWA_FLRP3!qcXdQ-y`=_c{(F4CV7-VVr(0`Gi6-K;4(4g-Ov5cmQv6-| z_BT=Es$WW#xJV37!~H3)0&|zoBh+xlrQMinaE;R3$Gu5DJ$xG~Za9K4#o#!+|G}l) zI%U1qr=6O;vf6m9A2lk_S{fdsJJICpDn{nfnmC*#`{{ zZsBEjho8-WkvEpmsKtGc= ziI8M?wWl4k!7*dVs4l?RD=>?nWOt}hsr_1(!97sx(LB?*u2C0(fQDA&p29u+FJm}z zzlDOeB-EM517@f;ZLs2-guA1OR3eVXEkaTvhE+UYSY-`o$KBJk&3oc9AQ9F~s7Z_G zwAHuDU%MRPvLBfxNOCi*+arI)ax8GgLUq5U!46rvJz$zKO=oGkADKqClX_lXWhI;pk)0A!$`i=9O32WnR=`QYciXJNa z|BTDOJ$};hSe!gQ|EPBOapfA`>|qiOx%7ucZ8qe*b}VvE+fQ-vpR=IaC}55>pEsL0 z;kN`#4}+G?SLusN$IFRZ_Lt*ZEX?Bv96l*JHW7hy%mek#EPT;KXCeX5&uqwcK4<%A zkM3(gjqs7jPY7YYPbI)Yn^7^hg@j*DFzlb=e3TRPH!Xq1M=6(B9!{T z;In~!pgfK4byGH1;qprJw75aU8)f>OIh^%!@sOD)QDm5jK9p|i1 zTjklIoAcAPY|V>6Tg5|!>I1~2kw=Qh4Z%+xPS;ka*NBYu02x`5K!8;*L|zx4`# z6C!(RVTyXyCqd1+`qA0x6`7BQ%-WtO{<)ut1xIOy-Tp*MXu)8B<6PcQF6v@`oiB3q zrC}v?WkR;j&i;{_*3rnfMf?H@x$X3anZ_-TkS&z3c~1krY?6XTx$W79#F108@^(^> zXD24xJPF&L!m!((Vt6OFKZVgu-5ebMiRo+Zb34N=eRI(g^kry~iV>^M$Bg<;z;?_2 z`K2mH7vFiznrJ`>^bgifo<<(;4*UOVuHKisUQW_HXAqGWUw{N5^Jw2s+~9JpenkJ_f6v-s`YezpD?_mP4qJGML;7TO{(T+ zH}Bfn z!0IGS*Vi@-%Ag%@ID;&dltX9qF$|hm`L}6!T_vG7Lo0QB_0hM8dEDmvKVNs30_H0# z3L9u<@!khYtZHT4;KK>8I1yi_vxq-%}QygfREl_Zmgx# z9nLjVw=egIcc;6{=X>4OE8Ffavei6#Qm1akN4)P{mQ}ykO^v-H65=)I9IgwBlv&04 zA#Z2g7EWzxoTEWYRh-<7yYn!faNLlVD!GmHEHd|Uh%Zd+H&Y$+fL-9o4ZrnmLIHo# zwM49&s8AZwW_7;dpq;WHeYbK9|Kqd)jO32gCAag@!e5bK zfXI~Q^ODTbGa-Fi#j+V1EqrDb^~Ysendr>wjc6m&B+m=gub_3~Hc@-{G95VH2^q4w zj8!SXYF<0t%i%!m^`y+K)b*j0JK2_Cf_&IaW?> zAEEGwUBp`DxYhkL4f*#Z+lVl}?;}Y`qcy_pQnh46(L;>*5jZdShLg@aE&18`*MlND z36-N+mP2SJdaB0KupF2N;n%)!%=OZ0#GoUmXU36b|lsE zS2@D-(rv|@!gya$?qMbJ=(yNN?pTU%>X^S;(71asm`csa2wcx`0PQJVUbptAVC32ogVgH4zevq2^39}|Essql=%1` zN@#-r&d}2bT-(#4$Qo-CRlC=9W(U764esQY;Z6NZBs94~s@6qX*-(8;+MSXC8ZAAl z7Kxyp({MjEDETI%?f)~KHvO{*Cb`=A+7=L82<{Ppqei3H@#uKizVE4y?Tij z&ee1(oPTa%My3}M5Z!&x%_qT^#;w`HuYn~-rbc;AEu_EXlW|JT9Cc52*iT4r zSPVGfLV5x9b(9hPsN7bQ9Z~(3I^eynBFE_wZGL@cAzw90|18p?90=`$^Cr8}oSJyr z2fgJq59H%gZmXRuI?OCf>F2*E%Vik_%HZabywR}=hqpm_KZDqOv#<;3Q$@mw0Gaoq z|7b@dF=^p~3wN2Bd>}GS#>QZf@y+nHJD1z!Z0Y7O5CHAbL(K*=E=iUnmPn$icoR*m`!7RI{O~ zzyHF2LM9`DmB>7t(DsP6n$1**#1p6cLaYyah&e3)=NTdZr}XY6XrFXTxlKQZ$)zK_ zJyGUOA=5$r zdGcIV{xDyyG=!;ZGJ-=K<~t)*z+({zaN~i?*vetv1ccWer)JppCwWze!}PP(HG#Qt zZx<fWaLVpN4udMExJtOxMW>?=^!i)0R_guaB2;!sE{c>2e62(^da{{O3}pWWJO+v_JtvVI+M2(<5k zIJkTZ*~AL@ZSJ)bvY`R2q`Y25Nvy|A{=VY{Q!DArq)pi!?{zLxdO4d(E9}*u`ogtH zX?8A?_Ip<*twV_fSl6s3T0(f{vxLX><2qeFMqIC*gViMs6RPjiq6yRd24bd{iK|j?mZPNS|-DrqNBly}nUuhM@4B zV#J2C<(yoyh&&ODl%AQu>H6)-2e>pwq2|eo%YKO?BVP7SR>6{Pv$gSAiE$cRXfsMP ze26Y%uKwPxjidf-4DnD;!+X8cp9PG6VoWdyU+egv z_0B%KIdZP_zx(1XU;7a4h3=iKw^SCdEu@tfW-QZdZg=ARfww6sS|V8|tU{sLntr)X%2q(fLC6 zk_mBq`_TQ+#_lCYJi$6-jXuxX2Je0OxKzys`*yk>M=JV2u~BBYR|Qo4Gxue!&DujF@(dSR5{#D+ zo4JPtJUtuI;=X`j>fE4gV)o2t1GEcD@4QZKOoBR{O|nV+Wy=xv5zF`p{kud&)&gMi z1_F}#N_Ui54Vso{%Q3p&M^Ij}nV^-5S<)94_^|3-3U(Ae# z7|=MK2DC~9Ea|EVO`OvmC535?UIW`mFuVK;lK#}9L;;#Mq%viLL7SEID6VO>`Ff=W zVk>xj@K&AtWmZav1BdQj%~Su1=wUHt@XS=Nxc{33JWHQt!qf>X34InDID}&#xw`3} zQBm2GTq<^pnx0~GsApp%#P;bIcE{?7>As0RnSIgrt^@omketZ=D-W&SjqD-TB zP0c?pBf7u$oo&e9rkCXn4km%J&jE-xIw%oCWSX2wQRc*-Lbe%o6qhaQ9IBNZC&ng= zpwUfQTMqsree>oiC~0A`J;l! zpuX&?2*&7mZ10iGgCh*kEbF-9T2&CgrFmE@_aTqeFF-8dT#zWP&DN?W@hzyfI=Wn3 z5vVaE+i?m?bjR-ERr;jSGSR(xv#`}N%q7>Lohn2jiXXQ1RqbW;`44i9W^i`lcN2Fg zVe*Fxi*9%1F*Q3_@(vX0x>5#w3gtzum*QdKTPqehs3L^!sG2gg6%I#a#j8V4lhEHv z`L?t*kki3Dwu~5nRX0_kRni4*HwWVgm8HS70XnKwzDkWxX+$->h+KA_k4Iuk?CM!B zSTEi(S)L6J*uL(IS5TeqqpX7$m*>K5p?OtOX^SdIcJWv2JF1OA3I*c~6TcPnc(u5G zq_Krtu8Zju`|e>r&5C_+T!5YFJtA`v(9NfS(Pg!cGi(rt9n|7F_vouwv^3$#MV>DU zn=7lg<=v*S8@883S#vHL{7QZVGWDVaa>}E`bW2*EvVD1Mt!XSi6tCG_EBT*FuqOwI zYus1boZujIQ?-P=Uk$Suf1d~W@Qzsh-N@Vl@=t3A*-5u9plrZVzK>a79b$!;1Q$E? zGd<)z$1-w{>NN6&Ex(n5yJPx4|fv|8I~94OM@_ZNn9r)g*^sC<{hlC z74?9yVLByDxe6@?7K-L6?A$2?Sxg~*Zn1E8rgl?3G9vm#;_}75lXHkG;l^znKwVSUGbvzwPzZ{eyUp4)VQ8qS7KWQBE_8Rw|Ocm*ElyLdMXI`0cLO8QlYPMu6 z_LEBZc<{2T2fxKOPy8npCCFbJ)K103at>^-WHt`nS5z%}qezEmwo8s@KEi=X{pDT| zoC%Zq9a#|kE+)016Go7U^i>PT*S*(0m;tx$^h;+R3|AWB$4&e^=bZWe&-szA&p%fs zrRwWfObV}MGVg`n{s9!$-9yIt?^b1dC98yTG``xSR%ys%Un%7AqX?uB&|M8;C01UL zE=P-AE3tT_g12-L0(}Z_D&RA3ScKRa7op$=sO8>2 zQ~)*mF9FK`Js8glQGYTKzgXO;>{@zX_ub9Cu}J{}6*E18wIC2VO2vC0sAzM4-2D>Y zD_`sTr)vZ#@%umjZ9~VaOi#0do2TV@UhFwoj>3=nE z$+;tBpv7tJFu5*j$X!b8e0DveILE=`rQP{w`Hv;N*EpZN)D2S(P!igvs7l+^EGkcQ z_fj>;O+MeR<+7!>ZM!f?N+J?uP_p@9570Wa^h*9Z!KeElVc#Nw1Lr1K(?o= zkV3mUqrECnSDVx*A$l+AXa6d@RbFs>i)E>Z729tD&20 zj(2$)2naVf(=&z(1J-0%tA}ZhPE$9H-i``N(C?y}Y6BB>7KfF@8tmGRf(O2-3ffZ2 zHt@)j=hT;ULQ0qWu5#^f;gRAnzG1x?qRM__x-?RhK){$nUPNG}%3MM?7N%_#gDTEb z(0TDAvY^*?_gVk-qJ^z*S3KG>7n1bl&)d#22`PMQ%=D>xjb$~Ish>%pRB|Nc?muxcT=RhDXZ}Cv}sONQLu)AJa#6zyRm?Fb?_kbFnqKI zn*jn0O6II0YO~Y>US~_d7X3x)2^n$gd*pHfZd3acz&gXfq`pgxBbnG3 z!np*4lI|86oADW5TRLH1XBm&~Mr!rnjVuFEs6GOX|8%Mc1TIVQ#Qd2?r+5Cu~@UsAy$!^t|t$1~NfcYHUWY(ed2Ll(@K@N>=zT`-!@7?`(y8=mt8ADMbHJ1@*u z8V35^zex=8E`~=%zKZg8V#Mo|msEH%o-CDc`qm}w{45#UVr7v~3HXCY64o=aAWo8) z-@MdzHSDA_|M3ibE-I&SA1mQXui?UKX?BIpc1ksGX?B&(wl;fGVx82eQ1?d5N_?GE zf8b8UKwG_C$;i->s*P0_k?yh4dzYMgT2nOxID8R7b#lT?m6$gHB z?t!j{w`}pZunV2heK{z?ss(wR!~iDdL%Q#>5=VAxPX0?Sc~2x3QW?6b0o9a8p;DDV zc8`Su3bQ2SOwgkCjw$TYPyj~j4cVTMf!O8CqVB{K(^^%?=JSczx)amV30>-hcE8Ix zuv5jPT?FNVh`L8)bLdJy>~gP~JTjyooUUuPbxSB6;NJ7Y5(#jk_bngtW|8 zV`Ud^dA>t_K#C<4;0Tdnt7I2yaeJa{i=;pAq;_Q%%@gCb2w~UpRKPlV+2f_?c{RxO zdG*ois^zWFj*YVYyGpiKYSSS7fq{hH!irVJ?CX8BvXLmO?dgR1;6J!_$P^{(_YOsD z=)ioPlMqQbKB0&gVA%T<1=>MapaCG=9(utLXq7{gf>s_c^kCv%aM}kBd{18`rVBsa zu>czkpzKS>pOsV~_#6nI`d((W!KA5ipJELprC>-|7nH|iJ-~F)dFnd~44BChx17JR zr+e_eAGVv3rg)+0-_b~Te&k4!Sw9nM8<33j(^5}Yp@Gq@Ws+W9qyN`E`k6OYHgAnL zGgUAH&5AI>8q$4qFu>}VNFiLUQ8o_b@v#!j*Ee6KL;1r^F8ZSo03XGaCJ9)IqEN~o zxa>l5QA~07>>j^)QzJM(5$<0RJ~$apPct&64C%{aR;D^cs4#y_Yx^DhjSz}u9OSA) zBWLnWEkcKyJGPHM51xoPW}zyi=zDoU*62msn{wDBQ%3A7oJ5D^>M;B<*ciLs;Y{uX z9>)P+{{GF!E;{DU4k5bQ|eG>E|jk_#S8LP0>Zjd*OtlyK^#$kF-Zg<)elCdDk|7 zx`~2zW`Ih8Ni=M}jErDnTEIQ{1m=4K(5&Q;(Bp#w*H2y8dv3^GKfsQylmN)L`x@3z zu1Kqqa*I}Q01Nouuz@AL0BFS$_C5--Eg%V>Ni&sie`e3M6+3$$clN3$B7_*X_9Sh= zfiM`?Oe97Xx_j5kcky>X2(K7ZlaX4jQ+8&mXt>m64Ay~kuM{7DM6(+&%zsEkt-Le?D>cxphCkG-$V2S;v6C*evx3!)knSz4#GGAx6Ly5aB6jpXSn^LY zBI_E8p8RLRzyp6r0m;Di?XM*zrOCDJVg`FZ46&1=DuG+Fbv?63ZNF^~V;qXba~J|-xR5|54A z)=Sw~Vee##wMNYaBwSb*#r>jN(R$4JAdhaZb!u62bw%Jr>WkYE!yOQFiw=#iyI77z z2N4C=T`V#RE<2fgRAh$&Es3q!CwUS%ll3rqm@gNA0L;Ol@+1ZP7lJTaUPbiq$Nw0h z>=1r0KoFo0^n<&ANXT*ElX=Z2ZX5Ii+t%UfOYG`9wzIUuEmag4zpqy@Z1)U_f=&m! zFl?#2SaPoQ1(0XKj;@El$)*i*! zk%u}+Ky(wqBUa}{ccQ|y48)EKP@rf8qXY`oBM!MMEZ_yB-BX}V@bUr_Df;_ol|Z3G zNd@TlfQQ(x+vXHsdDk}Ym&LaVH~nmH6uJ4aU$#77)7_}q_3k~jJnKTU8^!)^kssE= z8*k0F#>w692PBjpt4@B{3(eAHdL`Y9y9ujKPBezzqKEuNPtD=C1m0(r&6s-&wJ&$Q zMN3ZD2rYj7&LlL7@L5b;b>O4H9sgrN?}V*?mcv-1rcEkbSRBdyLSFL4nDbs9>CF!? zAU}6Y!XZPm9(8Bm2`trjM?gEfBV!{%N?(f;2x~9nwv67uXe)pp73}rY)g4p)vtook z66PLIh}C|sZM!s9;-0p#>)xT&T{+V! zsc*45)NkBbsG@O7W8)W6$|dim1^~8qHiHtxojN%hFNID8%Xn9jeeqEKm#OXAv;HI2 zo+DZ@PhlZf`?W3AczQaqOC6e1KJIq3PByy{f zJ(#q6h6Kas@W&kI+HPvj)@7$FSVAo?oPxSZzk_I}?ZqvQ=1F_Af6Gf@^z=#B?#+`u z(U7{RaFLb?$XE?ylMaIgDGY&_1@LEqosbY8TXP_C?61^W$R`Gf;JwANYl?wqPJAxs zs?4rbWv4WI>#Zh%FGzBCyED%Yk#PbNO2-6XDNlY2?T7_T{(iys9Zbmn^fVzV7|t1#I6~PHUhF;AqJ1YUOy|`h~;t5Rzd?W zr!jyLf<9u#yUA;*Ks6PeN@4N%hOZQ+qCThM7gT3P4dc>YrMploUv1z9r1ptCNzk&b=W=~Um3DfWXDKsRi zcToJ+4fKD4A94i@AQJU;LK}y|LL1!gzEL_2xiPrieI>lH1Bl->z zJ;UAg-EC>$$JwWo!Ts3%wOkcyiv@P9TBB3F&SnmE=r z%kJsYzj6yMk@{{SlG-BcG-~zdiF!SM-5p$^^_ibXgBdxFk}iORk<;2qA!u}Zx$%_l1p+jLP6ccwo>LtC-C~2qm zXc&N|2G=m5jm(c3XgmAwfgW*(LP^PEgfe&obTdhpmyFAC=j=gS^oIzS%?eua-)+=z_?h+u3As6 z+_FkkpdG?M>Kp8n_YtyAP{m&#pGfDGYa$G`&bjI@`D6Ck-*i!z$diw>E2$8 zQWJpXd#kQEtd=wec`L_zzp*nQ=WDB?*Tii=cn6oUo94XU^XBGeJFqk&=L4ENL2|z5 zRXw^FcI13gaw}cRDoyA3(NysW?~zeEvytxT)JBCJ#dMUZwcLN3Bb4c{wyTn}J=T+( zH>iccsPRA30wt57ViiF~1~@fPOs z3W9@h1T!A+2LOC%o4M@#bJ>&R{ecC_;Z5bgwlFXR=xOxmS^=u(jv{SBQ|pI+LHr6h z+N|IGtI9`72LNEM_s>rN)3gB~H#8=9Y;OZVn{N@_9%#c~gwThnRZm`9fnAJB3yF}^ z))tEK%~e?V`Qd+JZp1!xhazhyT@g(Wh1VQ<8y_SY5FduDMm6O$x)KQNms9y{J_=8h zW#!vpKg`P`_tEBrQ^jYUQaWHAvL>6ZDRL;FsRBPcjcUq{?fr8R)npdc`{!F!6Lqcz zoT}e>O;MlN#}9s{db^3%aEFYqNnPI_l9e_Fl*B0E+dNZ-S}}kn5m0D82rOxmg2>_k zA}LG#7^ItbQ+32ZeNy|#Q^ab#Auf3(qjmzN* zZwFKLhJqxil^{f1^)hticTp6{J~~+gElEUjhJwgr6{-UM`mu0pYPj|JD?GUW{kv(B zVneK+cjXN4Bi-*KkH<}6xqikA;7VDH&uIh1K7zlA*1MD$XEBzw&)I89!xA+r4T` zb?%MIf~l~$2$4E>$Oo*Vip=g;bT7V8Nv)GdN`HUV_~-kXreWpcbN>28rQ*iLjb=bQ ze2|AG?r0I5?efO0@#kgZQrMlN28(_iDRO;FMP!Xbp;dRlY-UPmD?PjSqT06w-=j~! z43-;c(hCRmg*{P#CL#e4`h_B`RR7sAtc7o2qA?BM2bWH9*Q#vxTLBh%Amgt zZptPJnNTS=J}Lw*o7I7ygVkvAs3)r=6&ERCR?=aM5D7AJQaI^wzu^wa*JT@0$i^EV zdVW$$%p+r&Cwg+7@?d&@dGI!c3XT}=;e%CvT=Eka0(Pmqv_$>v(|#H^rboRCmI9Cf z5BfqWR_w0e0dwq!TbFp-S#*n*2Ui7c!P3%Xg_6KVsueBq$f(dM^2b|P1bN-=(R9FS zhCuMI(%mUUPkVaf#K+$48XfK4*|%{8Kxnzt?UMlbzoF$Xs`|E@{_&A_jQ~D!P;CBx zBg>ykSq#!Wh!d~_IBgkN0kOi~i*nHr^+W|wYoeA1E(R_Kl*20h0gyfq#nhdT>Z#@0 zj%0>xze{8#-W+;4@rU*whu)?Jgh*QnTEzgK&UDYwV(p&Vt~%;mnS#g}S|Z*5J=g_E zXk)D7@}*wdUF5+GSjL4D_7wkcCzX`VgnMW_frBo=qB|^VQa1+`XP`Q(eR8E6WN`M9Xm|ntAt$LlF5g$f zeQ+9K3r=8rs=2`DQ}r5}U7vYCGDd&TF@0Naq?8Fnvj(>23@+kJCIzYS=AnB0A-F2B zh#YbnV0n`bEN=o8X$KeiN&%%{AO>JP?|=nOc_FG98#W`612pi6MBG-c&5^Xfs#R{6 zckNpg01-r(F@vxZocfcao#V771(S2xPl^D){AWqmLw12a(W z7nz$&(t*uVLkjd1VUX`($XOT50}oY9$l_ph7cY@$t1X0iU0u}!)#L?SaCT!t_KXNr zE<{&@kdr)Npv}f^G2H+^`n1E#@HV8hS&Y+D8oa_zF8+6iFIA7|JUr7M&r(YOxrJAm zE>dIE407gIyLBXSbBN)n7x?kT0^v$}k7l{5s#HcYU$YG)dY_?n$7M;ae^%?*FyF%G z);g(>5G|1qRvp2R6-vo}&J@S6X;{f%>f0w_TaIP-802W8v}8 zrb}56)10SiTHf2&_+d7{r`aK_vPKa$a~k9LH-KJcwlC@DgB=Gp zD(Z8t%Kn#8Xy=zyAmYvZcqS_&FWvix97gF;#6T$N`>E}g!Vk0dr)7gFnU0ct! ze3=fpL$!;P6la97^2_K%<=Kox8(^m?fB(}+W1E~4qKot9)wUiPbCUW1Cwl6OKURT! z>#kB+oeNJ$;;yn>%&qmHN_Sk7;g~DdYohVkZ25Qi4|_Y#?KKw#N8IC1I_;kg47`xH znzK)M*==>K%EXvor2C}B@5za*vArDc&DvvUfe+kNYLmV3~F7u^IW`cJ&)637=SwwO|k8I=Z~h%KX|>W9MN<7;QW{p$WhU~y-^0%WwC0_ zHQ&tCF8jq1tm6V~AOOm-Mxi*lbVozukT^KeDC9Anr~<82{iQzI=jB?2!t+9>%KURc zrTM+!5v02<4QT5K4d2#aaczqYAdk%W+E@hNEXKP!B|G|CFoL|d4oAJ9;^ zUM=C=onGnP?boTVex9AkuXQEC4MQGDl{A~l5tOI z|Hv7X>^sD+3e2}D8{y8*$r8Kv2sV5jpQ3#Q<-zx${CIFoJ>s?mnP^lH;oq=qg-A;Q zrceW>k-PpQOqe((tbvXTnp#PxAfE14v6|3Y9}q9c)uZ81 z|D?R6xZ2))`u|f~A~()NRr5aP8j=@)L0J)ume9^zABaN)*hPcrJMcx{h9O0!5lI%; z0|;sdHdVQZlmExpTY$x}bos*xK?Wb(EqHKuClFkN1a~L6OOPNT_~7mk+=F{?2oebH z?(Xn4x%ckw|GvB5^UOI_)iqsRbxOO=`Bih7OTClY#c(uKp@+xIU5cXgqc*gVYPKTN zK(A+nmRTi2?1*t26&2(^@+a`~*p|p7ZbT~#wuRo!0)mzb;%gY}5DfQZY(RN0Jqu#37 zSVFtw@&c>nSi<$&Etz-2wFTK@5C_Wda67_}Lki;LWG0Htcqr@S_5jU|mntad$2vH;{QqEnG_`$a;0SjzG z0t0C}sqpjehzn#{N=g9?ykdWQi*IW@|Ka(ySP6J7o;3nMQS3m^-~a1HPoP00cLf7_ zv7x5feB;o;>?MH-5`034qEmYn1Wn{QAD}J68DM6~-bbAa&rmyS6 z>raX8L-?4O9~qtNHdv+}}6P(wyMES>z=q$)Bg&Roc_-LK5xPxLiJ`2`h1dcP>RV&kz3$xAA$Li=~D#d$h(uPuV>D~72`8MK#z;| zPbL0$Kl~P#8gaKD9$9w0Pn(3G7stFeAW%;`;Z=O&wA47vCJub3vee5)zFfRxFC+~J zsg{j0K!@CGU*NB~$?KOaO_y$Vo~OUM;$K59OXqh&@4zUqqV#u{U_oHF)L3Q289#o6oLnC7|_4r)ywATxDAfk??x_#l6g? zTlz!9E{YxPwhWn^{qhYF^wR}*?{+T}+}BoH9{ux+K?CqT{GfBhX1uWldoT5@5*=LE z7=^m0*!vAt?`egJzHhk}u4Qt+o&Yn}jpKyn$`2<8?bxgK&6H2Yw{Bq38Ngnl-z`f0 z{BJVm-|ldcHfvud=}USIg(6CX5k*U50b2k;rTG!k;fr2W?ZO+eqwF=)c09dbt;FiI z!z%owKN4f#3--_-h=H6(D2-2y(pvQ88Sm$cLqU4G1tHYfv?y8hEYcn^f`20gksF?2 zfjwT(<07yx5qr_vkG5pCSQlVVNjkJ^^4EfuGNd=R2h`bSPt1 z;Jj)coq^rm&v;7XW>|SqO81S-hE9>(aATbgeX16rG1627>(Uwmn8_Q=zgKVJKHS5p?OKIZz5i}Lo@;{RSB?Vr=4 zz$Hsg{QAkC%yw>mTfqIUXm{*ukURUF*OxBy5#r%zDxA*2vvG`+$fvb7`okL}1M(iW z$Mhe#~rKkX3AS7>WjrjmXoxMi;}@bJdR<$0fBx;~seUffZz{VNm3gQM`1F7gL( zxx_B-L6185Hl`h3oqzttnWCxG z^=^YKnRREWR{n8MTO5JNo<{Wwza%-0`<_k*CV zY<`84Cb;v~Z^SbGMvE;$+pRJ!P#iydMYH2fNwX8}F72TM51^p_0HBU%2s6F`;EE(f zVW|`f$T>Z}^sGWQW+m?Kx~O!0 z@VM7~Xj!YH6K5#ooBU_U$TtHUChgOVIsYYt z{xb}TFE6c6hdN}0Uc}ppUXg?daZ<7u>SC-?*xO6^)rI#k5XIcV>BOMue3J?*C^ZdL zY8M0#?8?(M4n8^lz>w3fpgZ1&IY8iV5NU!^u>D!)w(F2+>>teSb0{?2Ky?*3aD<$9 zC%W^fnA|gKcT-K6n)W)sXPt_X8*fQFyN#t`7y;GkzKNJbOc05nYpgR0b#eRid4Gg2 zmpM8@L8@I0`~zZcj}#3%C-+@o9kd*RykeHr34oq!`J#T~D?Qk`;nE}S*7CH4{WMB& zFJ#88u%Y%8xgjQ=@R}EWtSe+u+==@eW6$lA@psIjU zZY)56p-r6P_yiZt=THnF4OAHbawB{1-J${vO@A;A7H0m>cLUCNd@Aj@nj=**8(P?K z5&-LxWjD*_!BDmw>1MQ}I?jbn3*F0MJE_@NmR-I<=EZQ^4;X7Gak zVDpQ1=EWG*KpE+FM(jvxug1z}^DEHamen6h9)bQ*vLj1s#f!nk2xkT*wg4FXojFiR z_$ZPb1|k9pLHo0<_|y3neBPGU=WW+?p}b_|XOpiGe)<`&0Xm~g|0UE0MWPTM3Nw*- zjAb*KBy2jIgu5!sf9}hY8Y(uH^lmEYH`YkE&?F$ci1H9^2y{Nc*d3I{9f4sUC9j5Z z0v3QQMf8~M{R3IjHH?l4a+IE}%%o`Pm(Qx9ooeR#5UDxizt`Bkx=Y2HYB_Iq}2!KC823v}MRCvHvbJc(e? zYmOTtDX+NEEk1NqB2gW|c{FJcTQKDe@I5d}0j`j7HDX>1RySJv$hN2>dwwU72{j$1 z_cqN=Y+Q_>6T~=jbpNN6oR1`NRqs73=T!k@YvZvS>uvJg#C*o)V5IUKcFTYl6xgjvW?hzPiIeDL@A@`K%1k!YC2D{2$fGXEwZ+2S#? z@tQPz=Yijo$4#grZu>#s9>qh5nR@%di)%#MuheN4_+7|g_YKVIu@uHMvHMfpVky~#djJeBYLS7nclqr92T&CNOC)f6qHGxD>E;Ks!2+hf{NjMWBdsbMK$Du2%h z9`5ieE`*!vcMNgW9FL2UPwHJueAo{yElu4^)$5HXCjCHb`nX=MBd5M*|FWtws}3s_ z&I-qx$+m*BE}@3wo;Hs!KAvfJreEsxcQzZ`_b!NkvEn{6S6@q}+>C*RRj-gAW@I1N zo_V-p(9~;6^8>8#Dcv#igSfPaDNlkAt{m(&#QsxdVpi&sR{kxLR2_OmNyWgZ_EuXdW+nHX(uWmTX2WXzDe^1ZddIN!oOj}c6mjIA?E?N z5Q^t_=VyI#Oql^->X;$^l=iIgvlIX8g_=-l{4DNyzszC)05O>O)c>VXW&f@8Y=^H9 zTR`F2_q?C>cJ?G7Ilei-o|&=uw_U}GzFz>F+`Ka~905vpjg`Hk^c)ggr8ucQSOyv$ zu3Qhq9n$lH#|9e_&LQxKZ!Hzx&x;bnAG1HOFjf|%Oo3qtYjV5Xm`oLBk(f$#ce=qW zhaDO2m`uN@&}UIqu8~ec=TOf>iyi8yOz#mEYdEHris|{_kcb(^IQv4Z(Z|P&@+P`T zD#!~9&w&E9<3iTDCuoP6SQk4zKqb%~I`yS6v*u#j`3 z0?7F{e+V=Q2o@5E_00G{uz&$*|IxFVR{*}W$BB?Meju#{Y0k4bu!sg=>g@h((opr+ zrXrclv#I?K-~bBJpssb)XUs!h`=7{uV!)yfpNbYZrhuZU3os92p3!H;CXl@~43L`E zuj!ctJXAa%k1;v)@qAC%7zaw(sqwUf z_+<>xt!(0oGgovj1Eu&~Bon<3s%jBt`SsCh(W#it}wQ#D*swgGAj8m||lXt`R>rKN3mO z+(m>zyn2D7N!E17mh8(Rh_Vma|5gkQz~tLGsLjToaoWsf3^X1bu1EY)8pS#I6?y)9 zPu-+I2*y){4ZRURIhwiqo!#f?%nWyiuYm&|$^;zf65bM`r}TR$oan}y1=ac#L!Kpjdr`vc0!zW4DztF@)z`8wd#>^C8% zU40pNYy>F$j3NOC%xH@>Lk05QqT15%ayT-yWVMF0l)0@OLETMyA92xpv&o`MB3tE< zLp}GnMnEQ9Bd;mBMs&yirX8fv-j@b)R zKX>k;yKMv(9p-ML|FH&g${{N=Uicbh%06Vs-Y5Rq_(vxVF0%4~DSgPs&#yr*B?2HL z{$r$hj(z6fIen@e5$X2;5wPQT=WcW0r*5!*H^v+8*Xc}22YRIqu}CNfYb_W1^4FNLuroW}PhweE931xwKa!AODBh2#>94Y*N0qXof8S!qs2HqHffux}$G9IElW!Nv zN&7^UoAzmqYmil~#3vT{Gfo>F7iNTxrxPVU!j_GeSVzoWEGoS_2>jIVhOciJ_H~EG z;yO!}nsG*-`&!W6Qunv$)`lqKS98(=%zWwYW(vbkN3o~S%IK++p$v_@6VSM0@QN|k zQlDSrRotyNZx~KjeqLZDpXJLkcB4jTA-xXb_j|sU_JSPP(f2^{1N4m{4DMHQUP9#t z#_D2Sedy#me$h6oo?U%@fXXq6S-IU&g;`lTgsPIRwBZ{it zlAPP9(m2f5?yZS>q?NN9{wqRq9pQIg>7Y(%d-_D@y?1FpP%Tu4Cz?V_Xn z>vYQLj=`AVp#UH02n2h6tgOR2AtF8_aa4F^gx|{!YSG9@YVMZl!h{*(ee$2s9?Y32 zjgIAChrb^|FW$E(8UOWDBQN;9Hp}`ijl9Y)kj=g~%9bUpsqf#x?o{AZ_%%B`h(1*$ zqzGnBY1*y2+`ev$z3WO3tzeXlezG4pZLNYKTX&67dNYlE`PL#}|KxHMcRvgJ(q@0G z|I941AiUU-2W{8(n8#Q|N~lO$$`M4_QiYyZ(HV&-`x-4kDqYtMJ+KO}r0%Bq+^s!A zQ6*v&^Mj%!i$zM^T6R1!mnGCnmNi7`9Vumkeur{Ijy}i44=Va-IUi4K&Kn$+nRPvA z&YOcDR609fXVxQIA(j2nM$^Ra!|vEQch+yJ``LD_{S|vL#9v6`zogkw2eyn=ifQmB zW+@CS`orA~qvgN@=sCFbFiE4d%n5 zF?HWE)Q`s@1Pz^rADY#($hd*G2|Ruj$+*4y+g} zjW3+~Aj$~x%^UWcZ3)1jsite{VZF$*ehx{Rmj7f2u{BIkKIg=6h_*$*p)x46uwigDgUr!dAAxmzt z47dk55NB#@)Sn0pR-;d@i}|+h(+;=?I;3Z$K7Dq5G+RK6G-e%BWY#! zM=Yi{6#72!`qnRuJoBl68!BQc>1XVojxL%E$AAauwF3_{yJksQ2;|EztmvxObX%1J z?)eVHg{cL!TS^1&5e~%J+9HbOw-)k>l4Sxe?C6t)XqX!dl8W*CXUme}{##SgFS3x} z#igU#>G0v3v!mPT_=w;okl`Eg@zL2Sj`OO&LM!tkz9Y@E0X&xPUKkj?W4<9vj04T9 zjeSaqgPv#1d|?)z1Xu*wEQSkxCvQ4Q7^ff1Y-m`x$k4t{K=C~(^CeoN+TvNfVA^ha z960cXfi-R2i{y$LEnX6S4OtNW0+|=~c)RpB*^-i!%=!5Idmsn&>~D^l3jd=zv958Z z{#~8*2wwL1_d&I`b%P{k9jUcaI!uP1vE`H0Xvf-{EY?SzHa>H}sbJjVBZEBUi_P&0 zEg_?U!E6Vea$5C>Y|MMIO~k67bVxrrE@rhSOG`RP76>JEu z#>U@i$h%nZ@FM!fJ+Ds_iUhoFs_8X#`-BNLWa(oinS9A1hoHQVRZb2!BZ{|bG|qE6 zEnd8kLxjAK)$Dy=@v@vee{LELI$dDFhJRf%g&j60z+-1!Ou=K%u`&MH=l0R`=hsc^ zpYJRJV8avnl0?pWXOm`rGvJvu-`1yjchC{G_Q;IFCUcNK>DH9HQ+mo|q#Q1My{DU$ zzk7|%-8Kj(M_@+5*?zuLNiLMm{c{TMhO6#jo{n&@=jWP0*0`tGPOD<05M&wlX8b&< zma#r?8^fNM0R=W;N>X0ijzniD?_x}=wiZJtQU5MGZy9f4`)LK?Zkl1^67811Hj-*p zPNv~=ca{-FkBDKev}9;Ne;=|MMiYqyx~FnWZe;Pn8sSB`|-kv z%m`atHkLgIaTW5r)Izd5Ns!s4-Qfa)D5;T-t9W0LLRdb%gMa1rmhF=|`ztr#>Kbf^tn>X3m_)K!q+mP_ z={4p2=rtY~3lK|c*WFR9J#h3|Fs_&n*|6R1k@XtWNaBGOiQ8DSyct2XQE zD%5u`U&OQXe>jN`D7pB4J{p39+32+*}ufN;d zG*a+Dyg6{*6F_<&Wu!2mDdoTrXvKHn*Bt)l&}d=dq+XS1fmYCCpw0w*ks)y~mo_eF zwI2u8|9Z&Xk5sot2;8m0hy;Do^H~D?_~^FS4ITCvsmOya{c;KTEzB zPAcvG2z@fZD?$oEgQk4>5*?cIA% z20?iLm}Mw{Rdn=XJQp+z4afq(0^fy`;)`?}l7sZDp;z!l*!_?5B*1KF-2i~V-|ePV z7z_Xipig4F{YcZ>n84kRR~-JwS8ZMJtH0L%yQJC|-@T9wFJ^PB4mysM0h``tUTP5I zI)6itWZ|pl!V16w?=K>X=!K*J!zX+8{hoZENqyBat|k_H@P+DN?9B0%9Ou!PBZeB% z_X>?3!{n@cht0@znIZuXTQOzN^X3)h$8Gl|oA$oZme-8lY$)I7iAZkSnUU;wA`qb% zy-z#W4V<_TIffskoa#f7IF6&8^zOdqzV{aO9`9Ic)W22PZDozY7Gyp)aGplh-eqyF ze?MAy2SBIaXDGQ6m{pZ(-e1i;agfX>WzJX<%+qe%fn*>iG9n6oH; zUYv-de;`i=V1 zMSXs9v&$ok4Je2AT~BFD4z=UN%q$x>7~NKT(0+<=P!u3(C@g>_lLBX989>foJwrg5 zqo1oVq(=hW`Ww{w+=^FZ!bweEgT7ivk+yn}f}tfi-+{{`*NAX$Nk#OnbJ3tVN#w!x zM2XVi-??briw)@A9|c)5h+Vd4bKnR>AgA~6s|wE#lmI^`FYS?}{a;UHZTP?X$I8x%X{Z!Jaa)H?&q)5*_ zq!H*LlM5HIqySts^I71zK%4auPm7@f{U0R@G3z#Q5c&@EM1o5=|7*6lVEgD|1up-@ zBvRXCLD+x#BL7Anj|ib9(=79|2={si*ARfd7%rkX4lP1U@2~;I!Tg$3#4?itVBjJp zv78_c>&fM?aD45YAPMBw$wC7vOE>x4psn5ntLBKwWqQN!d1zfj-NyktMks*fx&X;_ z>CGGR^R$eIWC1-7sa`dt14gLl_K%s=jK@dFF8SOzX1eGJCvv`WZF zhvKvdtZ*$0Jl<;?fj79AH9Fvd6-yT)yVv{-)|Q9)t*9abIX^>yoF_{`*vUdBL0M>` z^qitV$v|ajqQF@pmVwNvVC`@es;B$)mnRG09AVI?yD&MluPO z6Am8v1a58R0z*}N3VdNpO6FCF);Sb-oG<|o2ul*ucymU`(>+gO`9k_D~5RPNjnxN!toBCTBHNPr$6UAW1bt#2JcK%?pe8dYbkF9f4A%PO+AHXP_8 zfyePaqIP}=6N3sG?}!U&Yl?hN)gb3Hz?>i^PYcY z{_Q0p6y=&X=&QLXP`4tv5}ku+XzM@xY6o)hj>6>Z19q$ig+rze;8hzD>qgYW*=WZB4|&4yV$W`WE; zG5;fpt$!pT7+B$ZQa87F9=Aluli9v4VukuaQ{Xo9R{$!n{pmG1v1bo?dB>BE&uLaK z^`zZKfEtRtp(F{UUtM7Tiwue9uOh25pZz#G$^7mD??(+^uNZ(#q`hi|TB|rI1rN?t zX7!TReRHXON`$;>guHTvyuJ&06$&*klB7@B@2RW?=XaxC^{P+bPG}vVmY)Zs3Yyzc zA`V`yO>z{-3!YZ0^RG_&YU^dR_RpTnsTF*zV)c%FxkH@X+P|`sLg@Ze+DB1d`>wDn z-_qK1C(hUHr>Z(Jdjr^bmxCIPFsRmOf{TaOJC=NcD`}C>YU0k#=2o+s^PxmD#b>HS zPRMF1|LSn}d{HNDv8i~%)7AOZW>n$DgSXdyDES0u;)kv*3xX1bIenX1*y0IJjikDc z4hsUpw7QPo3OYdtrGbKLz`XfJ(YTHcIU7zz+rU;;2rgevjxQ}cTUch z8S=L8zsRhKMG84sGRgVCmyQzEFctm4b6XuKW_;*UOtXj0Cy*`exW>*Uz%7t)5nyg) zo9o;jxlaZX+HuEC%djy>&7Q&jL7V*F9+n5`@S_I^AeAToQu!wst+YqPI^G8yB)vq7 z6xk(mFXsXqRv?Iz4FubS+Vjk3sU|#eaHfFZk&azhzZbQ@8PSL!F%u7IYr6T9S>(mr z4iAB`xW#QtJxs_n#=(>I$EM{bv0vMjt;=Jr%h9dNE3L~}t;-&I6>YIoo;krDIl*_? zqzxX*#oirpt;_4H74a~?5|(qlkcI6hxIPe6jqOH-s|8uJ)0~Kjaq{vTlLt(2Chg>J zLVrtX9UCJfAi}E}i}Hb5kXteb&_bN+UQla)dvkk5JSMpC$Ja7jb_g!CR5Dvyk0ANPodp9htsN>tbboOct2nY!M)CL09u>BXk1?Nkc z)p$tLB;{z@PX(qM_4i0hb|z_hy!;yzKN?EAV)v#jiAUOI;NYyQS@lzXy_`epH}h`i z42HFKbZh671RSS!PO-9{%!VQYzpea_?CV^JvRvLdWNn(ujXMO$Gkg^b=ix#3rhZ4y zk&G|DK1T|mi~t3VBF@mhMD?6(Iu)S{jv(yZka#@1Hc3e1KS_+eHgnLaRBgz_`lelh z;Jl!EFtvVIrMLl5Wb!VHHZh{}+p^?5`m5}`X3IgVI_tw_+J+kj)oMP4qy_hZ{Sg%x zD^u5W)}}MaOj^OvA-{>s7Ht!s!VD032t>N3cMd}lg{)(5RqjNP2)W}uHn1LS%F8u1 zs7%-%PS&LG{jz2Fe=l$xY*ye{aKZ5$Y?2l%5U$sx1X!m_ZjU$^5n`xt7LP=_Mh(|& zXut7`<@sVZKLxyS&(myNuJUgb|E>s^{%u6Ax7 zli#>-m?hm5{N{l8Ta9Po_;X+{&SjeJpiF&Mr@W>~*TS`Q{P07b4o{fug01?9idX{1 z+~k4FMzPVZOVcl=g8f7^jcDT9SCAJ(zd#{D-G|eX~=7+Ujlm`O`KKt;rJ~ zhp6M~-p{C$mYP-;d?3xs!>7adhyE1y08!`puD|(0vUe7u!&L4+T&tSNNn|9s<{!R` z$v#=rfz_1bpUR25&fY?*zANwQ-6Ke(c5r0(n|H8V@Kr$SgU@dq{|e_za$`M`=N$TG z`KNB!JZ;1A?1|h38TPb3fE+Fz&Gp(lr!=W9AI83UE1?3T*6wi~>FvdA&?cUY*v| zR3t^Pkz2tvryP}Ssv;v1K@S4DwQU#Ar7oxW!_|u8=nOU-EcLwpi1n!JGZkOheo88- z8FiWWE3EZ>)tZkZYWrFZq-JtzKCt^F;ecmW$Yn)2%|!WTN{x{&E=@ z-Rx60^l|ZKz%752up8&F5)5%vHng{u;Fvrss`W5$A?8&@B`OP!m=u%T%QDJJ2m|w0 z;fI-N;Qd3@Z9a6hA5W!in%(j^JDZj74$CK7@91iyPvd5fFTZH?sR=jR^XYr*HEH5Z zx#osxE=4n%dP_DY-Vniy?D3)d1Cv_q^JMq4(cg(W$T<&@T25i8aom|@PkOqigiZ%% zZ*T$#R;K5Kl$&u(Ibv=gen7n>e0%d{DnLL|sWta4v$k-lLXiFub*YcZPyR=pA>RL& zN|+S(u3cIFn}WUTBYZ(0dWE1%*GT+PGSy9u6kE7t1<%bfWR(NrW%v|t5NRZx$zVU^=Za(UX;&GlB}0VrQANZ zAeE=a19&(dtMSW}=U|3M-B@l!ZdN{Z0B=N)<$PK3peuv%yv|;jD~BoI$o%CXx~Ath z+D?l)A9lQN!v5xnS~gRwnCAH+`kC8#Y9r!FQtemS8;cHcvyzz-CV|-^WJ7u~Dp}Qz zFfPC^MaMcB1>05Hd53iRxc{39sgaEm8l&tJoBjuNF%ksxbbsw4H2h(J!m`F3oM@jY zRwO!&oDyXCBvr{z~_GJZvD@C{+W zO%w6iRiL*E#1PTk%8zWQ%=8mp7%mm!+)1?j=Gxui!MRx7+rPLbGot}#7WiG7lT6JdLre2Sjgsd@X zz3$bk6OT|||3jQ3o1ugx9@F3KBQlsrPcSxld^-7i6%x0u;m-(#4AR$rBdc(D;4Q)B zB#;rbAkA!>^hq#@ae4p?>%m@UrZx15qDrnBd{6n}%7j|{$Pl%)u?b6$!Ay+0^>ed@ z?XTjTcJv3^;+&U1NyNm$d$o#B=6_o9N7h)LJ2?1Q{@UJHZ=U`(Hb_0B`$HGE1*yRW zE3BTf$cO%3HIMhub6?p0SmDnTEcqU#TIK) zU}B`~DQ!&%)ADA*slQD)QETJFmF0W%|E8-gldq&Mh^}+HO5S_MC%$$_6?zOyabYDr z2YiJ1jbCSNXhBL*K?T|cCTDhfr^F;8U-7j^p2rG;5|ehWZSR}gj^;UOx3J!leNN|6 z)<}mjo1Px92R{L#TDEQl)`fph;hY{GQlMtl17(FaY~Ll$kOMQRIx7n|QI*I=6f)`y z2a)#qH2=gRoNlRwL+1%obb$ZXc$-W;6c*YiQ4WZosA_C4>Oi;N8rRz1&>v-IR9;4;y-UP>Xc{`jcTys))oU*CwvC$G;Jz-{`{kk98K#d*Meq`Qb zOAy)@KMdsGJY5?b51ajxRf_*XWIVFrzT1eADN%LOKld#|p_XdXqW|VwhCaQ?PD(Hb zihI0X_kjDHx7_dn;%7RzG5hWQ_tV1 z`Vd7>lE@m(a4;g~w~XC@k`<)XTSWR=#_cnMMfpL5 zVGO}CR83Kabl$#Kc378-BpXqkG6_3=`)1}7_V#s&Q7 zZ~=aU%TxI31ck&Fug?7qjBS%Or=t%^1vZu{e%wd8N*AG9BYn03GoqoJ$H)vQ7H-oiPr3 zB>5=H*|lpzO?smHJsw-6kkRIe*%1HZ*QDMIma}U%wcmybIwytwTywZ7QAc(=$zj@! zo39hf8v63A7#*I2^I0L<5pu!|y;PalS3cR;u0#OQG@oi%+Hb|`jMaRYR|>T}XJsmm zw?239=$ALnNtnn85a;xmc^bzBx@hmPuAuGZseN@qWJ-${VM{7cvBQ>+_M`9hCzPHP zo4k0g3#p3xukI=t(8Hq;Ai%shsUmN?n}hxFFH*Z#H08SZz~J+#I>n=O_5!<}XGX3? zEo?6JFkg^Bp>0(u_Q;QeeYCwpx*a#!to;_6(ZZAfz0e!V22)+KMrk4^2g6wv)0ehz zZCvXfDxuPCgVE^Zi{6h_rnh)uZOkV0kF0T(*I{ZuZZDZG;rXskCFNfy2%V}HDfq-P z`Wo}1vhEg?5b)P!m55NaOGs<(?iPQGM70qrM!Y=9I>>VF5EQKOZesl18(gRU8)n#Z z^(TD37FIS@>F2^7wa?|R()aXZ{B@jBd;@jKiiKfvZ7D*hOvQ?MV^_~S%=031}K&A4Eevw?{N zF9rI=^F0keM2VmG#_;$OKOb|2nhw^MV1@xY;KXB(6bk4uR=mMugku(G?5%Cq{#xPI zfNOB#z|y(8VHnsm*rO4d5ax%&gyYDx!8x0ZK<80;rOViBAt7bKr>hxpx*U4n7sc+sE z%cm;jX*i_{`GvhYG`W*yXqp*}YYY?H-dO$Q*^qciC&V#TVppCJkgls&yj_gd!Yx^d zBe3SYR{Ub-`i(GWD?(IwIn;W#S+n+ePC}UOm4p4)??QFj_m2ZOl3wdhBa+vlLhr-1 zH97s5vc|gY*$qfEtk~!FF8yjH23u@NH1^04#|~auAzg%7llkQdqkI!5k;4AgqsOxs~};p0sYZa zXFq>BJeI^ksUaauwOO0uaKn(RMce61LKx$@!`RAvhTWiqrol*@K;>!)+J(41%$b9` zpcQoqe};1ne@2UvTKcGNhIK|>W?QKG>iw`FH_tLPQxgJ{C)dR)t4zyvOP=mLzA{sO zh*JGwUS`UbGJ3^f9z{j60(CPimu77B&9`8|Npqqry`D(Ki`7+ymg~w)-TUu~^&VJ_ zzlwjB>VCGpc;i&8Za-6biP%`H_j4jzr(EcKU=6itH9FLH`<42#b5~F@Z%W$#AYBd= z;-ir;`CMHm-iuXIB_@;yi6xgN zA^qIfQ{h(_n_*bH*oz;(GU?wO!H9NzNx@LE#-T1nq_M_!1JWIh^QI^@LS|AO{LsJK zK9~{1d(7J``%b7T!gb0-wDRqT;O1VYV)jz8#ml(d2%H<>+8u@;H9oWj$ITIcdTnTZ z;tuk0XYqO41j0JF=xIbKgF4VPedp;%Si`xmk=z6jF*=ABb?-_%isI^1ICY%gX`FBp z)LGNN&))sIJSmE(@gDQT=;(ayy_W`^2Qd@+q=kOm_IX=1{i&m`&aEI;)dcy`*Nb~Q z{Vc7AC5KJwr?dlD-OPL#_m3vGp(WJEU+UH|+_(A%jjI`|Bu>d+GquTGk=rYs zt#2|5J0YKBq_TSRo+5HfJ(}N#yDihKp7yQKX0U1Lb<60-)#)$1UozV7kIM$+nr~pjDG6S+mLN?iUx|BF{3aw{#p_e_3t?S_Lla@yx}quV3hB++CM4$MQ>Qk%%Z zH#E*d9gg$iVE0XD0>Z(=hJ2e0l5_s_|AlntxIe(g!fQ?HIl#@6bF?gAd~YoAD@vT3?Q9S2XI%GOlu;}a9_3yCP`ikA|4 zO|z}877Q6x4j&^(LHOU#3u&?-HY>weRY@fQ)QMLY#_`PO)}E6SY4EvZdmTNt zK32ut7K4I2Wai^4LH!{0(RC^TI3j13b6k#-50V63vYF?qrrA5IjoI~rC+98=4;{sD zVUM-n-=N=_Z6dssr*G;DE5!c6n*m+B`EGs*9^|# zGPFK}qR1Y2AK9%OJSq*xvzMv;F-aXazI<7F^ux#l zS-($H)uU~B^tJo7m#7{q@2(QY=zrnwq!hKqKW0wgIzc+TYRb4#tQzQ$Z&a3cF6MCFYk{POldL z&#!s$c{Nw=4Zy9h+CaEpVw=4{V)k_ztQ%UfRjXmHGxJ_E*~&w7Z+e=aoiC2DhPq0$ zn^r&nzAkT>Wk1xr`WaMeCX|T3J9&YSSJ3!D z3O8F3PE}n?>9_wkl~S5XoaBR-I<{#Bq0__A=;bN!ALcz1;`lMYu6bEp_i&FSG#dln zewJ>)YZ|gANa*L-!>r_bNRw?Fs~i{$r;dUlzo{)C%bnDOm1|KT#w~U71vZL|M;5o2 zwLprv^9B*4+x~XlMW1kMFw!3?l}Z4&_hy^|VU1faIgl*%-WN#yMg`Pu-^$)2!Y$Xx z5^2hD6Y~@pI$z7h7&T3G64c zTeyB}9J1DE&nHYcJ?!0_)Ml*@H632C45ZVcMuZW;St;-%P~}ZsU!vWYK~6k0YY5AV z@yI3)hCH$LCl;jz?R2iA<&?;^7fW9Bb{9S{+TJEFi|N%hOp@&4=}M}!4dONs{)Bl} zpRYD_z8S<)(=4kPsB)i0?|36~FKyxXKK?px9IfsDRWce2U+S*6_+NA(zZHYwtzi3MBVLWD{ z>uf2M&__|ax6<%MlTx5ccZU^*$GsbrOJ!5=x9T-q@Q*|9E zfFfx72iX9e>1n8~VX&yTvP5ou{sN^h4pN^Z3vqxJ zZzNV6R@sF>Q~+^UaQ|!64K%)jl#B#Krc9JpUF)ltfKW%^PyrAuFFp@a1ck>cYqc=Y594cpN%nzaAL*2nr>w53;UX`$C`$j^gC ztCA0A=VQOQf&!L#z5}8;hMS~A{N*q;GjW5{kiG}}?Py8v4-k!`Rk17l8lC=Molfr` zs>^Qvy9HJ$U7NKRtaPF(U5~?@wf!GS8|0fp;Fv>KmMr@BsUnyQGLmBzRK0IhfJ@Fu z^S8HRqEmE~Dgipmzm0Nkiw%Ga++q3m?pE(K^@jSTf}IfpR{E`TEovll|4j}sClEBj z-=c(2AiQJS<^5jz;Gn6X#9lqKcm6OgaUCw3A(Vo1vgg#n9AO?VlOgnoY4TUNR`}Cv zYka^cd~A_GxK=H`v^Pql0dGu3A4fk`IdLu*SV~nJNYIp#VIs!#2A#HX_>nVg_@Rmv zS*i$0D;mmZQJfS5Ew*_RXYO%&AuhF&y919>z||DfmdsJ{Q6-QYt5g^Km?HgNfUP(y za1yp%jti;yqR3|XVwL#aN&8|}Dg&$mZYpA}e3PGz*XW_`&w&JW$$O|%_20moIAB7} z<%DQ_7~daGk$DHOhvRus`YoD^;R-wFC`YQfja;H5RrU6lr1^dr!T06s-Bn?r4-Q`7 zV9K^Z!A8`xp)O5|7`azk4D+7}Z^OIu=WY{TH%|*frb;ugw#l)_o*%~9T`S%Rmh(__ zVC}3H2MaM1bn>PB`O(9d#>BkjdR&3579y)&+AzXQX}S!7p)u z5|R*~kNbINhxg`nCF<+><-L;7x}~eb_w&?pcGd)e;kRo#kE3SVdt7^G_f;cg|Z}q3vgvKS32v@?Ydzb>x0XMYGHV1OxNF70 zYCYi|63snb%Y2N@fpOqt!K(x8+^rHs-P)C@7s9#uqqm}l-o8K-*4C*yjl@JEMT;Fr z`K`<%UvZ5rfWq2J^nedPqMzV9Glqe%itx~MMuLE9i!m{gf`Jx@ zGBGKG1-9`epo>%0w#3fx2sWj>=b)QN3-d4EJip&Q-au1|rjI#N#w`RYM3{bzFWK9K zm#cUxAAlmW%Q%CscVuo+?$8(kFJmCrGE<(9V=_6ZfdFfGYVK6(168%H@pT zRJpW>+hhAd@HMW@<*WVHYG_NMj|ft%#ZHY1Pjvg3Ai5b^{uXq@UKNjvLkYKEoHhfc zw!sxVGorQucRUlOu7k4~fm=u4g1oN9NZORl^g~-`qKI+Jk(5N1h-w)H$fP6(SozmQ ztkNoBUcI1*iR)xGws^D^x&1y3_Oi^LT0Lx6SWTUSO#Qi>88o9Q4{Vcp`>5k3c%MS* zL10qUdFRg1gWk`5?>f3m-ePPHTlJ7=)f7W3M{JjRu;1PRy=y?d1`4uFX~3w~>Vm0f zY0&clxMs$^y*naHGyYZ|;BXL_0aexWstJqS=E_!m(2YkqO6%HCo2h`!Vb&_Q*Sn;c z&q9T8K~py$okXhCh!(VvL?&gx_)nj#-o{TzLdN`ZN2~NrFdNE}vI!Z*9gNs`$7!0q zl!iQ;uIE4f8pnpCL>3T$Efxk{`LWD_PD$U;V9Z!+u>RI zAc0+5n0*vd*z&jGG+p7zfD;w}j)VJH9UN#=|Fd=zlL34K=_hY#(rm$vc5Y^~Cc_WA zCjGTAu0`?Y;V?O5Xsh~!+A56OJTaZ&?`bqdR_g`y zl-nN@qxnQG*AzJpDwJ&CtsrZ4E^AXNFdB9%1wh<2yc^1vs?1YhGYQWoNiN$&M;zEi z$9sv*c7K`65Neo833}-6Q2LPIexsd1HEhvdsBRbm&J*{4{4;}wW-_Cic%)`%b9Hy# z+XfnT?BB?gqTy%Q+VlLmz@toWsbK__oNE|?7afdhhX5%L=b40!L#Gj%6r%0aQeJzQ zFMyg1zK%fDDoOPh_=-iaQXEB7%XB9lW+;-X;Uf((-;y|q4cg;InBwr;B`tw}eYsU; z6rTM-_dWPy$?|&s8deL&#Oh3kxQo*r)1HJ4F4oM zI2V%9+F}~9*mjZ8x4hgo>?EGZ7I<-KKPrio@&hFlmo2>2qNb&%P5$Xs2NBAP(l=TUBGLP2B)8_fLQSqsmv-%JQRu>1eT zj(%SS?6=KLzCl40?N&pnxDS*e!L7v@%(#FBHUWlr{9XlVp>^c{nD)>7nvC#dVQm)2 zxy*Togx8r7&SY#XL%bSSmhw%f8mjZP92>aMChe(&#vahcMKU`QW+-aN8b)cMZEj`$ zs)qb|@_N$diIGUZD5Y>;Vny+0~+iHyflV2btI8W+N_8a z&Qs`_+D$MjP%|SI!{rAjNJ27<8%j0tG%2xx22Vw@bwVUJ5YcP>@Q*@8ef(Eg>4lV_=^|7c`;^Fz#p>cQS<3_q{nzL%_>iD+Aq>GkG3E71=^j za!^}Cf>NqF3myG`D=23w$RGHh&#l41ZQs6A6|1FAjC^t9HjS@`V!vQ+M7;xs z@=tJ1(yxHbNl#c?jyL+2RlJrRQ^2ZB@5xqw3*nPQ5+r#M?BE-Z@!hXm_8AqA2qrwf}SbFL*)hv2Pf>-@|;fB9`K zCwb6zs5a^{>z9kbpm@wQRSw+8`dLZdRoNH-`Ww~|$BwwifAxR88d11u&N1k@x`NfJNKh|Fg3S?b zydpiYvIuv5f3^cRKkbfF7Ti8T7tO->{QL1?fbGF-BqQecgqNWnE5aSk{0VnTWw}9a ztK}pnA&gSVYZI0Go-Ky#9gZKUXW2?XvlRsM8!Od=;*DILkAORfPy&!3_$FCiZb;63 z!S-wNxwPOO->K`+scFk2Cx0oquWZh?7sfjg>x^B|ysYbO^TA7<9WljZ1kN`dIHZ2 zKH^Ze6SpfE{3g*i;xTGTEWK<|QXe>yt{cm;bY-xmoXZTGP0Kmg% znT+2UBw1BoU|SSVyBrpHnf^?l>BsJ2kDB}GW!Cn20HNbEC5m&d`{o!YNcrNp{CV(1 zms*!95V&UNgi0K|<)6QocNNRd_+NHJ_O)GzJ{$NjKVLeIS>UZYDx06@2_4wC=N_yRe2 zu2+0swMEqm{VRC`Y(~Zw#GITHn2*>)rrN#g zAma&VRn=kgY3!K2zU1GUXSB}2KDz_-&VQl7k=WegY7|F6x;h>oDRnQTJy+kaI)I{Z%oV-o`mmh}6trF_Ho*eb zlcYfd6#@y%FqQ8Y;L}XvclNPZF_RPoz~t(Fr2V$Olb*lEVYa5(!B!1tA7RE?EUq z0Qi#1Oi_gzxD+nTsXqsw<>X0Gl_`x$XTdI`6`ep`meWG1+gb>o(%=WtsXnTqBM6{NdS9BXpUOv!f{2s2;;!B2e^2r}ucWd9T zbxi;6$qZ3Kv(w|{;|GCIkB=;27Ee|eA0JEK_s*9akzNQEr@NZ*;kV`AuiiEOzF+T* zD45%SvV46yyEX;CT)IDZ#M5)Hub0~;5&b^h-ZYH_yFYGE4U5VeF|@?NCK3t^-xrjq z#qn(bNKb!ej*96#aZh4n+3WtJr(g5)<>7`;N1u_}S4XdQ`R$L>hc~CAUAOTLFF1kl z4l!ht*sY4NW(-lX86!Hw^R1c=nBLfr-(vpC^xTKY2IIr-)I8cpD-7Oowo^MI?mZb9{KeoM;fTAh|8(9y+zJly!OtRgM@zGx zElunwPJ*ciL6?+Sg zhGzw`yH!w`&}0iCdWRP`V2fBpaKN%1-`c$BRRq!ZHdol^G0&NAy4N;#paW!3SEIG zS;OLDXgKEM7fdrSJ@7L^YLv(k?GsT6%MbDj5<+8S2)>gSoQXpi788PaDDZz95v5v7 zM?g^hCZV$HG38xj}SbJB2AuUltA5osU6@LNRxBE(T zKfpJ_jYX&u~s1<#?I``~e7c#@dZYACZtga^;SXxUHjG}Ni|7Jr#22MeB$ z*+Cvy&APoZ^cQKISu1mPL+j)m+EL4T)|4q=opr|%L&uRxelnZXUDLZ{f~F8W&T+>k zx7+;;_*E~R#5HMQ#+q3DYr7}KE$TV;-1SW3Wkosy0Tn@i_(wfoXfWaXR3Rj>zk-6W ziCYrF%A}0+w$r8)59^^@%2Z~I2oGCS9VE{H!3mP*uZ~fgLQ=%YAE5+VISwzQ!>Tk} zo#=CU3op3I+8kOP(H$ClqSu_h+;A^c-g^bN$b=rlFXMLKK4MNpt0Dwv;U7`6IZWHG zGM4M-JM`}6->pGi>A&_rpB;)^x4H#By*f9x^-d1cnS%2&`}8z}#$Q~zeRKrPG_$^Z zI-Ta0CDds4(`-z%o^otswtMww?{-!sd@zGd2fIWMjDxyl-C=8BQ`=l#|fSgX^5H&(g z@`UUjHew`}L!#m-UZ1ljB7=M>qOSOxEK@|iy*XiVo_ci1L?Ed0k)6EafxWB86T?9+iNHo6vJnp&?T_SoAmkI>D~(X97eva9bXCMUyPFK*`^q)1c{iPk zC>Euko0=(_^`)@J1ZYdC7kmzC(J0Td-V+_X-{W! zI`@folcaR?{^8D>|nSXfT?KKTkdq{A_OcLzna zs}#I^8F<+uYwnQ7Fsi|XZ2E&Z*l-jlS`K4w;Q%r#ZF`supIe^ZgU0#4km`PmV-x{U zT?0lh!BiKZhlEg!Qi4X#_wQ~#U+zB6Umx>2UX#=FFdt#Wq;W9X;n;o>7szn2^s(jh zmo~z`&eK)QFQ@pF+E`3Zjie|I%jY3vid=FAXK)1La0bg{ioj=z5Sv+q@~7fII=ZaT zuEisgYkW6`UK2GFaW}4gJ6!&thP(N^bBGb`=+K>b9}Stgqr?^QRZ}G<5Hw|ibMWl+ z7T9cJn<(AvdP)_vwNuo$8#}9H(oNk}P0U}K`t<4k+;3J^s%1me2FY#$>F!1ESE{re`S zq4+=0!#=V;DI0w&Xmg3vB3;K9A!fS$6Z^ahFzrH|7fhSAo`9J@?;#i=QV>{MWdy0a zcf*&^5eersS`}XXdV1PS%HK_hVLG~$kLPGA7&1A4g)?BOrSqcnlMhx5_1kH{NA9bQdT}BjFg$C3#p|PT zZqq|5Qs9!|pDh!C>R-5fK@aO+I30luQZAsV1PRvy?Qu$k@;k+A2sX0`qK`)1)pj^s zBeO}csZ2ly)>VxV6XimgJV{EdF#*KY`3R3fxh9gT+5MO%l45_a58QFchxN-@=#vM< zM<`Q@mdzIkj!YogPIwL}2P(GI+O9xz~(9{zDUi__+;##1^E}nT{oD?#YSn zJo0vP;&VMoDuF`X!e=xf=C!SQF!!QUu1L7Q@--y7_d@3^CMkZNyB`y=Bq&M%&F+kV zIhg9;Jau_YYe>R_Ci}#bp+x7kr@B72bbVjoa+MpYnEzPa5~es+_xS*(ZT zEl&-VA1k*)O{BqIi z;qBojzexa{!43ixmHBr}h8eN>C(|xFc+T#K;}BcYtm0d%I$`OP=o#v+kCgYKAEAnV z;7;g<9;x@x8e-Y701UO_sGV#W&(%=eYeP3~LfZ{MPzT{GZ1ovLY~_EtWM88rKbyoA zwN+=YXZ;uR!xbg)&1AoPIemFKcfY!KucG*(6HwHvP&Uvz17MFbk=&hsj?^5H_e_&8 zC0GoU926eX$aE^*&fT(mNSB^rI7Apl*cGvd-wAR)WtfQOUK$j=(PI+3b)64pL`>^^;n85Wt8c&iF8AW6DQK9_hhUdDlDnk*(MTZ>9d-qPAPxI zW28YtZoH&!U!k9*6)?`<4_$*>kaV{>sTY}wLDA>Z;} z$Yn&I;MuyxEEw|HFys4&b;Dv%YGlsa<4RUZkm)y`)4l_46qT*C!d3QRg;~ zQcIyNY(K;!*56UMi*qCR$8srO?()jn^m4~<9yJZm9mnE3o^irfgOLFn-k^Xy-(u;Ft z_mSS*Pqx;w{G;VH+L!&CM(VY9S@t=FPivGtnXz1X*IxkK;oK_nqagny%|23yW32+u zQwEWnBqGNnxM)ydIit+}Tsp>CejD#e>{D?|uJ&2DUvZx}CU|;#dRy@tUH(}>vkk~_ z6=~-6^%y13RnG~Sp!D$=br zlxfwTKI7u1x8{B6$7Wmi`x{|og_K~9){#y+_bSCg(aYP$P-!*WmkPI6tP=!>1Yq%Kyab?j-s7{7b0m zZn2C-)*XEodFA>un?H>4q7N$1sUvwFobR#+N}VJas)=KfrTy>c6h5O(7-bC(?#L{j z$hTg|^*$C}>YvW&-L~gFslMolDZc6ejHp)w(D467b%W^21!cR-m!-RX*c;t2*u884 zdyp?Kh4aH9t2cOSmn_j9>*qJF^EB#lf1wmvSk1mpeS<9{uY%}=y7WNKcp_=I6*s?l zmmR5Hyu{3VV$4_U2>ZO9oA}bZg`)GNX?mV}ITrq;v(@@^PY{eekMl(sbpGyxc;;%4 zpR)Z|#9TIf(bdU3bhWnu7#cNwau@8>y3S-7yK&>2KAFEP#nxVj-(`nrZ5h5SGhAI9 z$(Pw4C)h2fx-;I7^yM{=^jRh;l9vdN1-1{Cc~)Dy21oS zy)O=%Elbag7`xR(H3&Uls?dsFSH|rY;}(bYd}zf>1s+w@l6@ZPQn$;!{+cRY)&AzX ztpOwu==!L2|8wX|d2Hvq`eEiVQiY)N*JCSoursc~=7_cT+s3xQQ}eX0Pj~`Aepqgv z-h4-)f4y|%6hv?K?5A z-k%uT&Ry+~$+?J_h>?o9iGKoQH=&)qDOo`0HQ^|R>7Lj?;}K#Lb_zNHoAr`#7S|5H zV^&GM(~c)FEMgU-b^Ut1x#zV<;4|PdbQ|~+w96lYh$ZmjIcV3bH`qVaD=%^IP97Fx zT0`kO-*%6|jaOpe;77`VERxQY-66C2A@j+;m)Gjy`}?!7SnA!~KI##}@$qntC=Xt4 zoeP%_N5V#6aKTsYd~FGBOy-bgIQ}kG-vKfnXd#;$avBhF0%k9{1SL75@6SJpfsWb0 zK2ARsOCkF#ruPH3t|7%NE4XBkf_SyWmt?fzuF|jJtWI)k z;5eeDjveN+L3TkS*%z@i;3EA=s+7FsDf1wg5vC8nlgYHS5Z$76*dy^w(pY1rqPN$x z7*?>**rL5WNp-oGomUMbjRhVeYCNzJ4Jstx9B}b?>N7@4`iF}=<3SdJRuwU zB;7nApBf9=VltJzb-2O8xVc3Mc|vuube;js{O7VZgXm$aH44@2=l)DpNt(26*1-_= zCRYKjnZv%EZB{3)*|fc%&~GRvesORIZ`kNc%rO@H(+1 z8HYwA?QehZxiJ%PLEuFyG(LsAPo4IbY#{2|9>W<+Zo~4v>aEnNt|dRoc*4oZW@)Si z>i-4Nk_OuAHmm5_MOQhp0end)^13DL;a;pZ^w3VgWt2PA;)ltBt8fK8EV5>-Z(@dqQ3 zRAR~~Fw|UOup7W^QAX%TB>$QQBos&CT@3_C6bJ)CDwNLE0cvsN9cMV}Y%hH};?47( zyYBSjbrO2*Oua62;_iOBwMk+)e%g8$FpHyJ|wKCM$@OOubi ztn9VUgc~73{0Ht1JAl9}NCNqY0w+>sL6Hi>;corlShl~z0xHZh0{Z^~Y-7;5R0<$1 z@H|B^4AI@nzS$C@Y-s&^cp>dfG5tm$sJR0XEu_NH!3IE+=_A2~Ge)$?jhCMV3;<4v zSChB)O^%8OK2X7#XhNhh>Wb^YW^mVlh=yZz?-#Un)0ve3sLQu3s z{J3KCP*=fSR+0M;XqQz00HAVHN3tQz$`;VhCzIc^5Op!sbR66}cX!@GjBXzh zr*k=IfTXBz0ph#7bo}H;!$oEj8!c?4cXvhPlu35g(-*&hZKYiw@4QklzAG@u{$Bkh zP*TI)HcrreWItJ)CCm2);iv78Ej@6QHov?znTQdEoN08`O~JX?zl=Pft&*KhBzSZ) zUUPUc-d;9W4^4BPaNMtPyB?fkuN0orQc{D~FurRAb>{IdQWDZYzZKVnipp)OVx@^X z4bzn%1d2O{QxVeex*WFz#ZlOp3vZ$Jo4881nuH0WQ$@mz*5q50O0kB3muKUd;pJ#O3-Tdul z?mAP`ir4z_S-JX7G1LNI2gjJ%%@I=;gU9Xf@A-GeU)oc1eWbp;aEMq6ST1v)R*Fn* zk`TlK+|MUF3i4mAs0sIkYc0pwy!)WmKu=St?#Ck?iH=@faMri@8?0_ydHe#zp(H;S zk#-mTrx)^|a+o?uZVY*okYvkVekyY+A#9sT82~j;d^t|Xf#I6-TP|V`{t|) zf?kgI2wH7&&qYJGJI5iO1I=8a+#>+3W`{m2A+KG=AO`)4j@%+fjYH;{`QzO;5kMsG z^s86UkODu@k(>M8Tjb7n4q9H(yuB3NRhjlGUwuQoN-8&*bk1(@i<|P{U43C&956~v zJ+AqVmhpi5U-LyfxVjWdG0>=i4J6g{RJAM z-2@TZj2buVURM%AMu})46+_peD6?K8#cfHY62lphM4C);h*cux&LkFpD-}+1Xow~* zfg%$hq(VmQ+@TQvSI9$?rV>X{3AIJT{wv^+LPraA$A4hQ{e?VT2PnY9;ft6SpHl&VmKw&I7_i3iI!mCpPZwAj7W%l{2V)x<>BNvrz zyMh3J^^dRfYgM7N=*a8uwI;-=OpM;2EqaXImrKzezU|!~`O%&Y%67R+@IL6|g9l<$ zv4qFX?n&ptCnd~Iq8Cf-o{R&cfA6@R71$Yi(QX`7zyOH5Hv?~f5kf^txrv0>iFANE zu*Y=|a^VSFtmjvU`K!XysCm}34VB~}coE(0j6>^;!|RMj@r=gc?D2RZnc6)lnm)aC z{d}2~5%!Oseg7tHYIkWRp3S3i44jajJ;y@ec$Uu52@7QEYWu_iOTjoE8txDce z&MW7uH)n)4RzXWJGk^)^;%6VQ1h*ful96rr@rPUx8$S{A247&+QyYiI(W{CNq)1bc zjLVYHx_f@S@0Ae+xI!IqV+ZuBBulfBj631Ro8-rgunbBXYvKtRYpMeNX<8T zwKNIa2gW2Mw=ZqCgvs=oItrkwK5CgAjZJbOc0oc4^0=*zSN#cnc&qFyJ4?e-lDXS( zKHkxLKG-p7Zs{ApatIcMp5#iJiTV#y?)()L_7nY#J6}iF)5ZC6b+OS*V>w4x*OQ}K z!Egh4e-)oOXY*ey4`EW~cvq>}6z^;)N^~>BzEqo4K$dL!WjUc<+WqZCQ_e#SkvaQ^ zN7N~GF)z8`z&&%ZBJ&5*9CZqgyFD_#Ed8JgX)bHNQCWO0?rhz{NOR1|cgurm$p-9q zTN9s$l-PyLmh;*dq`CzyVB9vo1$AI6iC67(2A2rANvztuFUe>C-IkWes{I~kg*r&5 z;BI1HrjD^}Zc@jljv-rLsy4EBq^ntpLyKFEZUNY-vRVj@{CZ_k#0XZ|+?p6BnqDlg zE|`j~2`P98BY$Q^q_V+C12+27GS8@t+ochWULgxYW+sO=+H+`ZFQ=})#jKL<3Y#|a zKPsAiFx}EsGCM1}OSEYKzHn=pdRZGG^v|Jlx{b~0Xo^PylN5X!6AW4!lN2rhmjG~8 z05=41Tbe`JcuFsZ(9rLDrKdnI8*#$dKRaSXhB2rIDJTaihV5lw@H4Yw$eYF!)hN9? z3r_tdU#etK31V*>lZzP%4y|bAllaCas`Y$6FYOge(UvN+D<(mwQl_R&)JY>% zNv;jnh+@nX!_h2?ue*4F-c$T9|#ADFzL+q2w&xYN{w&?sgqzELN>Q zVYMw~pG3Z%&M6TZ96t$7Iezj3P#b{m089m7BLL?Ccw<{Lh39A@`Zsn(os`TPt+V=9 zK#y(%#vL0=mtdfSZv{SIlbcRROzsUb8@;Y3f0|%~_fGpWQKRQ>hrJ)t2(lt>jKwNm zs9A14`ac3^b6hPKk+#gTCi|+WcX}KsO$Mv*ivcF2hOk#l6#?&G^DADHT_;GTwwFXQQJjuaa#x zFPn?$29bd%}Ym5c+cBu;^aK1j6Drlc-`59CQ4V5iNNXU%8Uo^8Uf zRqWbiy5pwfZh;RK;+Z0z!bb=TZKjT~AeUPgcy_g;5{6`E#R+_?4@&TLyE< zyVaB8O*`hSrtEs}gk4_PrQy$b@j$0Ay=}({-Pan5s@-qeOvQ z&N7a(+B+a%U0#j8R6fG}Rx~lusAL-7$#jIPDL*AQ2y3#m4QupYxPNR!aT?5wdZlHZ z%B;^|DbUp+DTpzt%Rh!mI~m76=G9T1^b+=+`tGz&rK^4h1aRNZNp-QAANCb^Sj!@*U<;W5$8P-k>jgP4w>mM~xC`FtuLF)tizhXLcY zZ`?Xmfti2k9&P?DP2fL6_rezmPl{GVkwm|a*sw}L9y?(4F8Xdc6;mcMtU`KBn&0mq z6NFKl7w+2STw`s%Cv5{WKOrkrRYo`(3?)%6=n%gE;a~2Z!3YE=vPr+}-5A z8OM?gOU0zad}~e(v&)@2g|yuZy?{6e?(_OJl-wsRB0Wc9yOz$&Y1!Vbs@mWoWpTu~ zq8xf^ZW;}PAhVi|&yVt!_ko45_1?|A?JFmmDBhla#nQ;9T^1!_fjdo3xgL(!gMBBY zP@DTx!sWof6f)>9B8cr)(u%8iLD`3PE*537cbU_=9h7nn9O^elN$^kHl-&%@+Ksk7d#R8N!d zTk7jj#+95pO!VfJh*5L<%*rJLd@%pM$S^u7Crf_ayq@{REoPhQZOhh_anVfOglfs) zk2QFNK z7DlZ8xD(3HNp+t}R2N7i!zfx^tbHHMp_YqaW?LUa9q-19^kDn9VBJZ&D0^-z`DjQr zY7LkJ7w#y+;bgoQol6(!jK+h09?w`Vw}Dww1p;DuRZ%L(C6>TMtWj`O+o%KN9Ho&2 z!@fj}RarT=xz|*?m#6l|I}0Nd(fu`P_Y&{56z{MRRWSn6TcmhB(3j&IKJq`)@9q&2 zLsN{JQW@caOsB})62|Ub9^|7r{U5j6l(2Mep+pfrlGvNd@mHmPT)$27qKx2&BM{0^ z#fC@r?W3ZVCU>HunZo&4K7Q~2Itx-`Zm=S~9i-}8A z&G4PI07;{4-9mB&BgFgdx5`sOmo)=z^Nk~J_)5@*I1OsL6HfLNWYO%{!5QO)+X>my`mL+?g23W>A$X|cHzdxT%bC^0CVCB%D{M#KMn4Yz=z|Mq z0_y_o?7FjztumunceH1_!b6M=T7L6*0^V-U++5169+LY72zcIP1t3mWPv*V@1`!_v zdn*36A^~e#3pZDB;C8hdsrSPoFzK~k!CN+oBdJLLsiC)Nj8ue4s(TQ^a|}4ULbya| zh8V|5q>pzhakw~jx`Z%%DK#a0@ok(?f7-5ld#b_hMmUyDC}3;F4~+i^7}}%thdmg1 z?{%v|$nQ|NPBedPpAXWSm!F$mpYb_pOqK?7J$j&!@ObP@<_1p99(DlS)^etReyk*3>v5M7@f%B6+`K z4g*l{0RO9JwjiR%8SeJQt+y#ABny5$xBnaXq7B#gys<*+mfOtK&`>wGZX1d?Tz*QT zTJ4P+WuF>{VefYhmu8U68J$$hM-@@3w-wyK0u!IQE;%qUl<`Pz718o1NAVmbv(mpy z3|jxhJ5VH5^65rA)zRntjgV?tR1+&G&}}k5R*ZJ>_$ZbT0Ai3B0p(MJhCHdN!F{R5 z1|ci|#DA{izyujTj;s;3N>^5@F@}tG}-6&^8RZ7cJuh~zHZpO z@7x^F0HS#vn$mDVrZ7DpbG_#5P<<>| zi9R^6&HHfTd@?5rw<%apn@8ndZCDb0GUJfmuY@WY-8t$RFbD6nJ-j<`994TRL9ft$ zzQ`gUNwEo>T1^X}tlPWO<2XvD7BruGO-;vc)!%XJZ!`$)J9f1w3V$dVe!QFVfKNI_ zWSpWkf@wphM((|!n9T1ubcwApwPZ13Uu`HHI&MFX_Fg_4mw&w8t?WAZz{U!9Foz90 z>Zaa}OJCc)W^F4pIGs8A@X8DS1IoPe%CdjpdJgm5x{S?-jvTv;AN`FVg~zW!%Ps*x zS_U7V$|9x*)9FtT71nCx27Nc)EPy=(_YR*?3~9V$7Zu;#`!P!i>T48L$SX02FM1l2 z=R_Ln5~j0D?2sQi@=^R4GxCdJRrDuX<}o9~F|Bt_=d-cW_Z;h1%~$W#5?2Py5%!Fp zoAwo8?1jKh$=E1U2GCe-VaH(d+jV0D$a%^@6nJGs&q)~yoS)yN0tv)vf)uSmsc8(kCcuyR|o%A1D zOZIl-jm?19D+he*R)i)UNbTxC`T=4_GtQa)yYcnmN;#d)u9c!9vqM8eo`rTx@)+g1_ZAkD)(cOfC01Kev- zylWkNYpd=I*8lX%+ykev$ZQ)3B`l!Ag^*c{dDc}A47Q1>#2^ zyL4w+a3ZRC^bfWQt<**_6i7O6v*i215J<;d_Stv%~nml!FdH zlM%VlF>ll00CoLL1=Q6q(E(UtEZ9pF;D`87A>Lxu1LMwS82y6yH(gm=S z88Pj~iM zWGPXO{D+}dOzM%gVr%OnBFuFv@O1ftDMP!xBkwA8@DX*8G`S+_MYkco4D*q(p6c=N^~CX~w?igL)d|C$uY3$fO6VNb~xg!ey~ z=c)a3ZMM}T$;*e75xXzV=4-3dAQnV%jnK=R_6VK-LYFxS*c*VLbOlP_2Ul(`E7O=` z$mu!EfT5lP$ny%W@sCoBo_^poFcLGf^upL{mP?1zZ+NRoXd zc>PSt?>9($Qb(xXML|sEb5giKL{SGUx5yA+1u&CLmecW1b);C0$8gueh;Wj^1&357+9rD=XHdZcx&@3Tr_yU<| z@O^Xt4_og5ok`HN4ac_ajm?d1+qP{x*(4inY}>YN+qRuezI&hd`QPvS=X~dMcTLrF z*Yuft?w+2mtEyXWMUq$hKg$UFCAvJMfkUzqa?9mw&rxZ&N5d(RFVPU61_EWGrsCZ< zLD8t-ZSjRDY4NUff*F||jJInb992-7-+@HuGdyy5gA_C58?mwbOOsIvMT8mWmm;4H z1+cJT_+(*c_e1DU(|*ekB74*l*-8QtC6LG71Br(H8u5TCSUy-F0usGqy8n`|!1BDH z7&K(|p@ry^vnT{3&2bW9R0@iJcFniSmm3@@lSP645Q29944D$?$6z+}PG9vS#bN_R zM`8or8!eLXG)Eg${2*KR0X5W+SZ4<{qy*Zg4mP0NeEMYqN~(Ko2cfX|7nR@!<#`M> zwh$`Jbue3@-%q(;PpzL%vwtCAa3O%_Lwon7hu2T%rt|0B>!Xh@Kc{ta@g5_I?C{?R z&o2a*@iY%4go;Ss`H;UWA>rqP2koJr&+}+UU%tsSiC@ocHw`*8*b!eJv@0)~)uK>- z?yr0k=<(gpJ~>BDZKZyGEWSBM_ni97JLYYlZtr{(M}XH^_ly%jI&MO=1!yhfmvi)s z_4I>QojjP})i=2!PyjHPxrz4y^ueqN0ra56*|q{Ig4Z7b+M6=f>VACCrVIwK{*M}z z*%wXv+N*bftn|mvzqbGlRdWDQKj1Iu4B(;|6ALE~ML;}Z3a=Y6z?ZZECJ_y22R&%`0^nlsCJXfyb%0J&`wTL!55D;(!zLX9YHq`R_$Eu| zNfZK3iS(Cl#Jm=Q{ClbZ7la4sW@bb=2lP9LMED8NqQCS2Aain9Z3=Cs>I6g}@JrU_qDONNSi?V%u-R-iF!^?O z<9#stD1uX2pTQ3;bzMml78AF?;NdZ-VMEZVX*|%WFMQC~7smfiOuU)WgW--4zFhEk zXJ?m&rS8T=N9m{bd__atIz2@)v}|nH zUO5KkyI`iuK86A0iZ{(cJd_1g-f^<2>f;*HJw;`j|>n$JB>Hr1{1#J%M2i6wc z3#iI<=v7nrX+T4XSBs8@unGeMX#+kXlttOe=md@~R-M~Fimrmy76e18t}vGb{Ue@Q zBo$sPWX<5eWbhcBiX_JaVHK@j@np|=1{l|sfbRpZHa_g(?Ia+EOHVJ*k(6VF2#Ec@ z`_&>b#je|S(DW@ry%z$0bb=Wfmlc@-NYrLlBr+7aR*+O7CI|-6r<&a$m>S-v`9GvF zAGuBt6w&8vx#BiXez!f|a){C>_?ghcmfEO*dM>)p+>@Bppg?TDC^{epClZqd5Euj5 zcRO~qH2z*G0yk|%h?(Z^r71abLlHEwb~;kM=`qdP#s%Z|$K&Xqu^(IB6JH+b)=73X zdW%frPvq!BzAb+m(#8Je#Z%=(`6;eHko(0>mwgLjwQWJXe&@Hw;qv%?r?;wxXy0Fs zp59$vmk%3nH!IR<`qa-(J)dVz_J@HTI-H$wtz3xBI`RnN2>zG10H?*5v(DMm+r^3l zAYlf9b8xKx*kF7adh`AnY<6Ls*r4@5XucmeQaSJjfSk#1ZW_$q4ee^IQ-@%J8QY9o zkI3GseqTR#gkFodFL~y1&*@gw&1Rh{%iI{hD^wQcGuSU#;Fjaq3ab{_mRdE!tHw0v zJ@cWXbyquJmsdw&pA$1`bp%|b1>Y^g&ay}<&ydM>G0X5KvOdYoFa8hzUncQzG4ZgQ zV$4@Q;c*M;rEDf8=lkhcd*}zBE<6(CDce$>`k-)2f-ch&Sl12PQuoU0M|S(AH%!Zo zq|@aX@zY_Pmy-l9XJIy;k~~5gMfhT(@VTYRza;5&3aA2Y^?#G9^QENIBV=m^zslBU z-uW@2Du;h*`xs5a3UQSwc)j{cTY=EXAR0IweX zOT8b^TKzN78kNaJI;6=3D?yzrG*Dgq0{6$%ia7)OgWdEb!ZwsUc~90da3*`}o7^^C zCf|%_*uqWP&5%3(*-Pxk#hVkax2oT_YtN_Ch0FKrV_`hY_E00ugv`e@7{HUPW81%2 z_lAEVJe6oiwCDwvBS z2p9L=9t4$F`?`i;XNsUu=Zlt*kB^YMXd;ijaZ1r{36u&WA4L%{(l{CWeI!;eHgb#) z#;}2y2x*FlVWX{xF)c?hb`sVZj73ac0KC~j{UZ9XkvbNklqyyZ>s%L{fY|7!JS!Qy zbZz7scAPNo=CZT_@(PL5aMy*-Y)=5&Y{{thh~Ty#*e#rVSjCVD2a)092>Z($fV!*C$i~)9wLi?DJ<{}&;`2_ z?7+j0Kdw@t-WjHqs~b*`;lU^uFQqtWXVfGiu1W}dYv+*C8Dr8IxPQ@)ABVDvtZ&u1 zX?JYB)3YzJ7`e}`>o&79bZD zV#gkGhRQ>j6?#fR#S5yYpF|;Hr=A|y{Sbi=vdE4TG*MWePTS0FoT3qqh;9eZ(V0#* zzRUNh%D&co)!Jg&+o5A!t4qR^!+H=wyl6>D)`0kak^+}q%jX#uCFY_YC&e^1kD8~Y zIm@r4k;U(IDfQvqKTo!Q*`S>F zDQL=TGqUH`=eNu|zq=nfc=%rrB7irMp>!@F9V813RjCB3f!|7Q6^rO)zX~62>>sR^ zR^W&!9)v`(sX*75-bJl23tT1GxbnnEJ$XMd4u zR{cz%%f>U|c)HKhxz=L?c=$A7?5H^+WGQ&qZZ`yQZ5H{HvsBEU2JbM3p zrO0AtI?@7-vo>13kI4M)*5UU^Or?6^WOz1J4o#qM9*=WQsw37OO|Pa}nrto-l1!n- z4y-(+Mk1Z~0Ytf^lf#7tMr*YR$pdq_~6mYpKNS5-)aI|{88%|Dw(TN=67f) zoZ3h{*-AVKD7SwHR-K>rJxm6_#d1bQolAyh9(4U}4h0}a|Z z6GD~fggm@bE91s0LydG=?#T?b*eyfyB$L(}YlY&Pe!_#^+-yqzI^qS0dd2-<+uklA zQX>lDdF%z|1-H2v25x6H0K(B^I6+Nj^3r@%zUS%ZeOUEup_5nK(Uo0wJbJnYRS+1p zTye8PNQ*^$8S3BGUD>#t$r;s_Hyt};?_NF<#tc_0NOj+?uS4@9r0he}n^rk=ae^ST zn((;^)&ROBANUfgfqkT}9mN!g80t(?eIXzWJF!FhhD|z$ij(jg0cgqUdDS{IdhDtR zPd}w!YhvF)2W_uX?Y9(2`-e^P7hlEP$IcfX7Y~6r20<(-Y?&*6qHTlVR?gJul~Yr; zS=GWl2*N40JpYNu&C1He)suTEnr%RvQ>$l9%Ffs|C}Pa$O54rWc@;(+e(gBDO|li9 z0Ee`(r&=u5k$iy*p9@lL?}R9>nWK0vatT@74y4LRFIS`E^8D71wnVHxbCzu^5rzNgMQ|l5D zUpbt8&)HD5hxbW@IW8(^n+w2%z1|{qqJ&u?cAC0)i2jLzq)D1~6j`e2j)<3oM0_Qk zMB>U5rrt+F$m9(yRASeWtsPg)7pj1u_KWpe4oOqJ*>ntb&cwDO>#D>yP#3W1I}*SR zhL>HQ-0a3_V6FAnxs7<&Z5czyNxxH8f0Fmdv;S^(UMF}1y(81x@*W76y%}{W4)O)WU$J0ayv)P z!#?bbSqi9Hu7lU(I8NsvC@fbJoN*8>L-s>v+j(^w@&n1TPU(V$e12G|)FwP?^|cE6 zbr`gIMmdQ4z-g#2>Tp?`8XwD>qM=QPsw^;_p5*L)(js315$P3}vBO?3md;N`2uy_N zzEIHrvP9`a%gP%XJ7&Zyu4uEAMpY0`k!C^_w*CD$;VnvT$oWogL0N5Xb-<@2^2Lu} z!M7Ge0=FK$kLqyL(8cVSZL`5-tF+01=gr*|aVlw~`K7Mw!CqDA9D&Q)Hi{%#a`G#%^qtP$)FwrH4$96=&q{i52EhYYC#qqxk0aU3QfXP)7djOp1; zZo?FFkCja}fDnm-%ICEPUdiMVtvo84wk2d0x|U;hR(gkLX#$SePbLEOUWWc-0@Vol zB&UYUTp9J5vulS$-3^+jOO0Tjt*B6>^o^)_Fk~c8tzB`mxO8~jb#BDrKO5qV!9?=T zOCeD;!+2HBnXf&`{E<$jZNT)wrYCT^G&6W#Fsf&+)R8qBndehow?bXqxsZ0EjY=Ji zenx`+#IMlxb%~v=4<|F?2=_U;KWqF^fp0SA$II9C*KsK)TrpLSOMV3~hG)6_l&PsM z8+h5jSi)S-XO4Ih@lanS8P)>}>gW^v9`b7xN z{+1qPp}^>8zG;vfXv5g;(tl{h-^LhJl`VCcDyh$-q97|Cd@hiEW;Gq08sasqZg zlF1FX>*9-KP@Kh@rbJZ1NF*Sf zUvv~~*^d&UHQOg=ETv$4rC?;Hc>V!rb}wu5X4(Sg2l|2qpGJ=mCN!G`$$B4L&0YJ% zlxoZD_pEK`g0o;${O%JTIb_rQDbdm=(+yolU?Jv*AQuVK2*%MI7A+ZOnU*X71I*-(N`dScOX7TKVEIa-k%5tjQG8|+yQ-_0?7e0rcsvcQ!RE^ z_wil&w)Szsf`h!^=G`xfFSyNQIP+9>N6MpmbZmEjUhiXLIR{~Q*Z;Vd1s)ycX$zO- zY$Qr*@hoO6oWXc0lyLk6@>THIY@0Ly%jv9@Zzh(tZR@ZeT@~=d+#D0BSx0&(UX&~` zTL<49~)jz^bq*mFuM+*<}elyqR)8o^Ujb}uxpv|Imc z&$*~D;6OC<<;1*I?4cR23HnvfM-L3=YYpX}@( z!i%}sCn#%rpC+@4MpOXkoi$@M3#s-|{V%wv@(>oo*TBq6jVG9YTTYGs$pupU`V3i@ zMzyTkG-ez3#D%7FsSjf9>!vvH^rwmLo;H6J+SP^944XL}Tc$gUk(pq^3DMZ3r=;0( zG?vkF@2E-K9FfswT+_Y3it4x5#y z%+D1k*m>p`<~)fY>$u7WlF>Hu#qABteg$UE_)TG{S25SDE%S2OaNRkNzGLd9Dp$OWIMkLKkd=gN1 zq7OMe6}K!O=F(ID^Pyw{?9m!$e?0~HY>+oH`-m*_d{Z21^EUbvkl*IZ2o%X=rJ!Y; z5@DCRnMMfxqyD>BnXZ86C=|~a2FCs#&3F>#i*3alL?N1qhH^Mn$*YIrq=VHOZ3tnn z(x5n4Q!qcYI|828@+E!RKrOESg7tfxhA-F;ciHWysMF^O0}%YG!1$*iwGk-QgLrua zd?C-R>U*}-y!tM2F=!0F2h#H@6W;Q$g~q`Kn=dm~<|~SB4je>@|Gl2FJPg$zpR*-RUhws1Td(kqjZJbYEkb3%cHhkgoypYVSAxXG&aID?l+cWW=wS^>P;03L*jago+mSG zBqTMfI&bF{!SZK_-cww$=Xh@c(GBhLNZB{5L>K0UDF<%|#}W#QKmdW?mS@>@i_kZhuWdpJJe)l8D?>Z+=eXAmbkh*e&DdSwLnT(S!C@upw zk`$d3d;C{w(+Q&JYm?OeMYzStQUA#hey1M%CyIt|LTj+V6Zg{%`6DMOEwM9%{5d&_ zH)Dp$X$w|$toY)^YHz6P{(Oklp zjsGI<%t>fvPWvlxr}$#U`VqLF_@s*Z0r-XF$&uQB_EEB-1VV?17=REXrwT}bkt6+= zwM7AL5A6qYLOA)D0Yg)oVa9OMMS+%JF#B`Tp8cb0PG}s#mTTvY|L%lPPJN=gp^K8KZ(N)@n zruKEX%x~_O>5e3M;1!=GMxBOolb^nXYR6po$0XJJE4pu=H|T_)kyw^tuQ0hKRuKjXzLgpruq; zz>Vmkjm1mq-iXZZs9lqzkm?EHNs_Ha1x0!)RpR|bX3df&QBcmi{U%M?xF_e#Jl<9| z)2Y)TTNW)1 zEj9uhWUvF-WIC~|f!naeK-jRf12xa7PyCMQ2EiZz($#2)EQY@Ol+pK9m?_EAp8T@8 zb~e^Y2K%MebUE^bI>r3}1OMawS9H9eT@iEJ-UE4TfbBcIK}I*MMkziNkX=0ILc$x>QE%mrV zCI{h*(S^`KtnjJXNw4w0LRl-j{8R@fBhCSahVH!G`%>O5dAVYB@6ny_IUpm*TQXi;QM z@#i9dBv>)un#+)<`iR?7~V3lXp{I?X~_s|Fi;TKVNc-MMP49r z0heW;Cu^~JR1nYGD?d=OYvZ!D z$%eT@IBCGt^0s5H|3OFGS}4Kq9sCDwA5MuJ38zqoh*cs@z#@)0aF)mvBu{W0Oepvd zbO;0$DipjDTO7B9?%)bb;hkArdA9xXS|>7}K^}v?#%UEM#xx4dN5FA?=no2-{P0vc zrY)ZiApmA~bEfM8YmHTuHD$BpX*f8?qZ5vo#0#(`H?+% z6Rn7vl9f11tyiaDMb!2}0nF$d2laGIk&x>Vx9d4}qk{@ry0Cl3siBmVbCd(0s_WaN zAfQIJyb5T#u>Q2nhYniu%qpgKc>;b<18e30p;$`P+tbMay+}hx?8y+^fc{*XiL8pL zD(Zk1jM=BN+a;1*=F_X9z>XvogKIwwR<^*K{oNJ|8O!?(_WBWELGvcoRH|POKEIJ0 zAMj$!7v^mY@k8z}_7+rJGoGpf` zBz8rk@px20_PFZzd>?f~Gx*A)NRe@#wN-@x$%`(3m>|VrmYtAik%*rqx@U85(1g7aQ6QktO`yU^+}qNZI5qy)Aa z&V&o$gC505TuWFCdDmD}4UETnwy#p8j|JbLn`ytanMpu06EjO@MIx8@n@}&I9tP}~ z;qIcSW5I?@xlr#?Tf zOOQO6EEuZl7#kB>ShjyMk^U2_D2$v`a}zYi@Nk8N&13^L)v=pN_9T7eC+ckT)^Lj8 z9IVvzghX>d?@kB)%HApkb1EI7x9B+cSamVD>}CA5y$wipEROFN`_=dslT1Vuf~10}HbUXV zGU#YBxcg{wk3|6`Fh_Tvf__feFur}pmYy^pGcwgj++^f)&c2PiveG(t9>kAnk~ zcge8wUkc&;Zxe|}!Z49_VWs1LOwV#oxwDscakI?@SC3$qsXFzDZ7Q3aXLpXq) zyy%a`Oht+1_g{|AeNRz6?pu_vm(E*sm)6@ihV0C!toXORUWPZTfd?m%lkAzg_^IzV zy;XLSn4{C8h)FI6(Zi2-)oeOdW!+{+CE3$pdG3IP>E1Ryz@E!E;MCqm!2$;Z$pR&G zf#Y8blnVb976_QS+oF|TF2sN&;rA%!(xDKxNbuOkd#sb(HHz+AMhz}tM3&H@$ZL~j zH~;4ZwLD|50K?nVFF&qux*QHRz{@;CNf}x`Wwi!cfn!5I4AlgL_mz53a^IJIfTuR zZ~qUXv1S;Rgx{~G3Bqdw<-Cq`46sB1OcG9{dPrvLNX0r!7$H>ZP2h&(u4aO%PCp?+ zHSL2DFHf_!*`Z!JlmvTVm8ecVj5#DM5S-#>@eYadI7bEh4FeIX!0)xH=b(jj2pCel zZMOZBP?O?{!4UFBXPzn<4lmkxBzA+`Ab!it~${bH-#4VJ0c(qG~ zANC&5a6X0Pd=CDzFyj{o55N85^lF#vmkPg63R#V7ChR=#u?^oK2s}1+6}C1@JbHB< zD*4&>sOxu!+oQ)1)Hwjy_H58W_w(4+t+TIvoqw48Yn%e~xB&u1c*OF}I8_SCJ_BsT z~V^wV$cT8>56R zGCwyEI$z{cV(Z=oGaJwe@0Sf#gTDqJWC$ykB^GK63H1gnfc!I4Ak{M~gL zrj1#n)tr?uKO{whVBu==U!#(o9RR)f?`bC764Dcblzs0v_Y5 zZ_VUnoM(;=6dBk4EutlAP+K<07Iuq{{_YuzX@Je9(8L9blqh%#I?Go?Fgy=b1Q^=| zi_h*@?8nH+kF$iJ063$2G1we9BZ2}RZf^cx4n=ud9J1$Cwx)lU`W}uY@jEHo;Ho*K zgqkmF0&O^nfY-R_O)$B7s9r;xWB;5$sI#xg*G?Dz`Q$1~EZ_W7|Co`I@&Jgk;@6Ga zww4v)){?(9XW`Dfo)wSi5LE;ShG!H_9`PF~i^%FiO^0Qzos3WG$hi&8VWVQdN|M}lkAtF| z3O7~;EnE;v4ht>}E~^N_jD-&Q8>hDK=ML{QQuG6;=OX~jwdCSQO6_vm?lO}k(!W2) zWUwcQ>p>(j-GZSoJ&A!-3?2K{3o3Yfio|0A7E1~}QitXTsc5JVOMPiA80t$`T3Z9& zRMK@>hPDwo#F~xlO){B{)8Hv;B~`LS!hX)vrR=15a+Wn6#oFmk&3NwNGrJZ0iIkQ% zO+1#XK-H)iSuzK#twT2oA-l*ckHf0?f@eJ|@)lCL0;N(=+RvkUw|ec_l`FMHIv|+j zamQs846{(~sq&-UjR*kLI=O%*VJ}zmq0FRU1I9hoeZlfsl;}Em0YD%|z6!*~qgd@s z^opQ0_&kOdVC&m1>S*|wA?^-$^|-jX^aNisc!|Rz50Qh#10p3X%gpzpk_+(3gKj^V z$vOw%lCpqg>vb&OU7m+ANy2^BB6n|1DS`%G?isj|@w!igj(7O!?Q4#vNT#Z_Gwz|P z);g=k_AI0mXOcWIcZ&lq#>y_=9AE1T2KVIgzYMzwC-=&vhk?WN0v2HzpFw20fIjpB z03P*qn7!zS>)2gjYTY~zh@g{cojv*!6<0))SFZ34sR~Hs?r<%cA}KGSOgI07&gys) za?kJRG6Z0>CJ-!TQo6KL=_gRqi?3$rRn?GbRhJ29Rn=$#loLS3X#rM`%0Z3t${mgW zCq!$OIP|9g0Ic=@M`}sR@k(BMCv3iuN$$WO0R4YV8^6b2FWw&X5&p+t9;d4Jv#1Ms zG^cjTPdMH?$|;M=t&6BG=WMFyk_p?@QJ{}xk_;!Iz^8j%i~{E{2=ArylQ=2SHgHOhY#sN(=hRdz+`Xe#oSn7ys<&{fGZ_ z0mCcyURq+~IqO%}#eiv;_B1RQZMue9GcBP&Gc7M5M*woM0K1cZ%GKM?|01(~I_k|( z{InG?N97rJUpcJ|5PuV1PI9jve#Ba+#?7=`fuD9Mu^36^8+r_0=}arm#<{PUJj&z6KM;A*qq=Yyd!rM9I zf7{*iuUG65)BR`UA;aRgkZ=A5!}0w7_;U2-)vq?6DWyA^?ngLT@095{kb98Rp02oj z@Y|2ovQ8uR%#+=1o229KfO7`Y^4GzKom6^!-e9x0XX~KI!q0zZ*7H;CHz?@qwKM<9 zG-S#k@K_4v<2tqK#q1I&#gB{)b+%Irf74~=E8VU4(d#pPTMe2xPDE~^G~X_!O-`I? zJo?jJe69d8?kx*!a(KZrY6>WBO&35hGq`UwRv#7KdVP zT2ASQ9o1$_NQP9PwWabwHi6JuF_>l@cAmwQp>!aooEJ>zvZdD2m&VZK%gF36+^Q>R?1T(ORchl@nRcjMnxq5!pl|~oJ z9YiR?I?dej?`XZqX1Ab6slgEvw^_Z&_YU>u=b^`OX(m6!tE80uFM@R%hAU8z58ORD ziB@xz>*|mX#=YONtu3f#Ws~(oP;IX*7XuUd&sS#~))(Wja@!875~LCZ3Sp}zB*90> zT=4r4;GSIehmp5-*@LzA_lqL%@8YcqVa+uzr_^yHjL$RdJmZfgQjFxaXaaTNlKgF`JOw$?KbyJ5;vs z620EE_EFD$a+V&<2Z1~#w|&2H_ma7(iYgx*a6$$k|yo zRe?UYgZpD;@reJ<_h|ZVaYyc9yVDIDi>>lN4PlbIt?dqq6Cn7sq+t)TQJel-iL^N} zp4Q-0tBEYF&P8*Ppq`lYafHmXZ?aP!v<&e~PbWW^Qe480EsOk&`Hs*Ma)mt=C@(Zr zj)aoJXo;Q@{3GtQ*6j7`DN()K@AESYV!I>-0Onc*U4EdAYkeJ43S+|(Ata`72~~Qq zgVE>Lb9+#ibDzfSo?o9;s$I!p$Z1q??f&xeqlYYh{xcp}##`iMU?pFMx4*7TJ<6#fAxTn}wm^KP7k_#$czeQprkJw38Y|7+=&yEKJ%n8k*yQ<6{}kgr%m+kq zm(DDzvm3lfWoH1J+bm%K&-^T`O=r_n}YOzAX*$it(Q~ZdR$$Low(! zi-Him3PqvcOO(VpmVe@A$cpsvukO-HJ?U&~E%gd4^-^4qCMugv58rQnzJj}%nclR} zDOs7UJ;CU|YY+)LT?Y?85->gzDui0-yT=2hje6XLOt1hQNcWAqQ+W2@oy6ZzwbFt>szz&VNj`HFe zb9WG<4m?+z&u>p^q>R8xA3h8A3b7DCDr2QX4fvtN#3qR#k4TrnmHUK^Kl>hyVBsqU z*~nL*Onwyed#4|zb*_IM0<7IC9WKaBpFG~HSY}n+HS#?>g%!QI+Z6a`ou5#}O~>wi zHuT|sc|2iCI8J%@Uh{&&|(UN(DEG?=#JyUa|@*Ce1%OG@q=z>6HtCdA&MH`gf zU~2n$ArN+=MZbKv4G(gw4fF=Kj$Nv(Yb~`es{e@G?i7Ie+Smal)VuD}o`9Q&gv_

xMvARK`BJrW;%;7AtSenp|6 zhHLW?h3nbQw<^()d^U3N6zGnM{rGj_w6!rX@pN{C%nnmli-w?nGw>Au$rmvT<)it& zjf&sDA_%!@!jfLOu0Fd&!ZU+|TyU~LnbqBNnoD$yq+9P_bv;d|wXG(g;(dT|MNg$5 z4O(hJlWSjThojrJt)5aMO5vfu$+u2pzRF^Ca3`qy0H2yJhe?>r;Nc-z0Ddb4&87eS z5BqhG-pzEley)&Gm4))uwv0@uj^gAR6>g3Yu`HQ(p#AO97AHQS@vZ^-bh%X7Yfv^`rf!|bCm%vgxz>`1`jz6_?5{BPuqX+ zuTzP9t3|QSvIX6(GFcDhl8$v1+}q;cdaE2f-4f)>clJ0Du4!C&U3#p&1G0nyEL3&2>iYWx_=L*&8D7 z%4l_J0pZO}KC#%+V72QI1C3YBbQeh@HZuz)=l(1J=72OLVdJ1?M$j>8^E^w8u?vR^ zh`Yd?m=Y;5P&t|Ys~dBcnXgV5bESOF9ZC&?dbIp55B0cA4MNUFQrzZL9BY7dUBUwe zlCO5i1ly@I2$kFHeRF&KlI3fXm_EWRiC=)7IRn#ScMc9gEFCz@jEiS@^pfSYLX4*2 zh^QS%H7VDvZMpH(LX?7rSv2)jS=PBZ(v!@0*;wQ_-iJI6BTald*KlT6A@lp`;5X3S z102`(WGEYRMhd^P3Q9ffPa1OwUYDCYNuP+I;S*ppW-oj=DD4zA9v#E?^?4}uenGxEGxea6bSov`Gev;4>kHnC!NKgKW$sU z9052mI%ZuwxX@f~M2ka6U3Y^UW0U6bYn!__YZ)4rp%WIF%1OSIX_`9bhfQQQUWJ&+ zqhc9ws~{TfsA%c17iP9V9I(?-QmlM2u8qNQnKH3mTCrUfF@o_wa2g(9Y;}Xd>@&IG z(YZy@rJ}&$V<%S{X`T={RRuY~c<%wG%WAuRpiW++oI#&4qh&-VphjE3gM*|FW~2_k zL9t{Y8BY-m90=klc5mu4{vpA#5AWl7^{*_*C`c`*sakL2quY0CDdtFgDV}|#oK&P` zd46<%&5Y#N{hEHB=341}xSy>OJ$PQYo~p9H@@0qTEv@0u2-Ks@M5JfN8i>ul?y8bd z*1EpdGVvi=h~Y+@t6&f5EI%&1F*^qq0U*J)S|5mFV?4g!-=2q6o!vPat(_Zf629i7 z!w`zu(bS_;_PlmYyJ#2+58+Z&;RtCs!Lbt>%MYF0J$5-*)JA-2zK5ol!k5Qqb_Y z;wtC7-06FE>mpvI83!9Au5of(%;0^G8nbv0pA(i#0-GRSVOyISSJB-0`{?TF^X=&8 zEKvI25w|tg5Pz39_%CT*vZBP{y$*GoiW_e(B5{x7!>4{g{^b%D9%4D}`#jlLVb1*W z=;86vB?u!Z4dyE^^dYPH6+-zu%BmEl#x>L8`632`c^s>K_nd_SymB9TpW?ktd=`i6 zI>Xhu%#ftq!IJ8}Y$znyP0xKV%C+UA%k?Ieno<*z9$1(rQ)|MWFeZ^+CWEsUeF!wx z2T2)fj=W7wY{J_k*pG@r5bq$?4-G9&X4C(qs)6TXb;B7}GAvE8i>3~xxH^`B4dQ|p zGyKe(TcE&#w+p|TqMKJB5$C^DRJZ7*l;F%`Lb1AUnStmgRy*i*sXHD$#;3vVLR5Rn zEo88U71CSJ2^%<6M^cLlRv0nX@duQg@DlB@#w)W3((SUv(Mqo*ljrVEa2ujyl7Hf` zA0CgbRwLGS>$}^D)ET&4kh-?j_QZ%==2+o#rVeKj$9{$c}%{A=Xyub{tR3R@bXCx z5>l_PySulq+k>lz*roT2iAWBu^Tp7QKr=H-)W4#8-APIQc@|AvIilhK^rL z^9pRD?83_5XU5p;;NLK@gXIQ}R8X0VzY;anm27{-yUfKPX8D|+3O694+ebS2mtOE0 zf&8tfXJ}7-MNv1h+A@$9?fmhqB4t6OI&HJvaty~8@LWT8jhMeRBXnVCroD!Vtz$2| zLsb|%arW9$Y^7%@%i!BHGF9SSHp(jybr5cW%}S&Nffte72a~`1;U9S30P5sut$dlA zPY+yPHJ6`=BYi$je~I(7tLwbvC6eBKp1@ZkS#&F?y8{o^DFZG@(H#-|vN`R4OU&B~ zYY{>yGA_LP80Ts`Q_^Vs;>+h__e2RoIi(xx9O643jODs94zt+oa$%{s<)x+4l8piG zE18?i0FCzeBQU!hzv6pTRp9!fHE;&^wJ|Uj_q8@KB@%m>W0%=}pw=Q7D*OF*Gd$M! zburxj{nnPS`ypJ_>+Z-XYcExgu3h7VON-x~>2H>*RmT-nt9XgGN5B4c>9m~t(tvu@ z_Tr_gGQGQ9L7*+Zp_00I>f%yhjqNtQW$&oHW(%u<-uBOYKK^fyzptOq4{z8_&xMHv z*Gm>S*ZMMS?~r(?ub>P3t}f-45-G>C1e+Ey2o{ZEkgQq-pg31YGg$^cX9NnLQuY?6 zz4L-ky=(biyEclpInn1Vx31uN%PhvO;AR0U5H21;t&LLIWf%{`|D+cBK zx^S@p^7zFgA#dM*pEJTjp^&kcz*U*yQ=e0%VqsyzlciS2e<--+kuD0^-c~|*CG#65 z+{tzY$j*Jg7cE9jK6)A#-NL;q{ylA)JBE4QDyt&4_HK+SnXX;Qg9TEQ9N&=OWUyyB z0CGcus^B|)fUHT8{(V!LyP&`tGm&5ClPwRd!&(SB>|uLnJBM$<#g$h{azptj&T5Fk z@P)^`W>_ZiX3hQDU<6^AkX=9=`4s*V=DX2&Krn4QP-6W>M+sA=;Qz4q7C?1$>$WJq zaCZ+09^4&5aCdhJ4nYzK5?}=j?(P;exCMf{ThJiEAtVGyaJUoxfA6#RednC}>Q=p1 zuU_4%?%6$ic2ED-7~kk#-S9AOj|o`hjG8ei)^aOP#)w~&-lgVw4aK$2O{ZSl-)#Ac z@?(6}#UyEQVjB(9$O&vYS)27ZI4Ir8RimhP-$=i0-l?J?`x1-VR79t{YltB|fqIPG zlG!FQiOf(m3A@cHXpU&GDZ?$L(fFIN*-42$hwPdQvu9?U9X!+f2%FOZsf?ar>RdhQ-{O(-DPviJwQ-NK2`l|d2 zXs9o2CDxy^%sUoN>A~TDQIs$n#jjh+FRVqdX_UeGN-5&*eL8VCDLJQYF{iB%0=J5` zvsX$oDQ^o7Wp2 z0j35hZBM!6cdb&@p0N!_OgYZ29K^xzhp!m&b*HG?AfCAxp|2&{ORcg~y~xig{-7tp z`ZBLPc^2QZT9@~^^)Fq2t_<~+-*eW14#%&4r=)35@Yzen>M`%9)T@bGw>5AVGaP)@ zL;0Tidq1A}MH5Gv?Zn)59_H2%)d$W{NGW<(0F%XvxYW@faVh;3@!k|JRijSyuYoo> zOw?&~#+!MsAyU&l@AtRHBmSiDp|)0<(PS5`;&m?7{e+3^(`u*X#!@Fem(bBSCcLOe zWF<8;ozZQS{-oVYau|V2`rodlQ-X^N?EE~dbs??Lu8FoB_U~*A8Jzg|NPiI9Bu^Ft zFUfB4giqJ2u|5f1;t8Lby+U4*hyXW9*mssgu!cNt3x1;RtqR3wHKq7@FjYU(tjV2h z>z7Zf$*ooscGG4Qenw@J3NN!RarOEV#^|=jc+gr}HPwqTC>&AG@;b9!9UT&bfzk%+%dPh6)i7X0%f2v1p(TVzrTu8(j z?dEK5<5MT?YLr}@deU;xW}N%`9cn5PPBCukkIuUwh4hcF9eIX8dh1xfY1u}>>j%+s zxm$k3fo0J^Tz0M-Xfl>9Gf(5nR<*UFBXi$>ul{L6!JuB~O(0?FVql0?`f}GvnbP38 z*%I{?oykh)p1$RAZ}F{t>>yDsn@#LXq0Og`@d!KLg)EsG_TXf~k+ok<)4)RHFKU&9 z>q$L$D5CAGWXF>*C$h!!XgiQ&2O>3*R5}jhC$?jvKGbsBlL@+w4V72GPDV)XSaNwj zZ{C*l{5|V_TA8?DXo1T#J(5bR7_NBdPi{s>_n<8H7 zuM{-I`I6I#>x|5F2o|RTygX^@W<+NrIwwv7(ICv63n?9>NEon1E3!6<{-IMy z6BqP?a^w4{`{M2&LWLdKq?p{E>83i8n&PL3W5=JX&eoe6_w4BCrw-OiE?S?U%dTOY zFlYa^{XJ3-uM(`u+=f`e{c@yq&$D~52e+k4?ORgOll<6S12+SmID_BHKX%f;MNCm4 zkOq}K-IITDdNJ(75K@CZLHSBnw5_!y&}E?zX0oOLbFyXv>)+PnR~UI#ER;F)yfRp< zLTuE_wUF$+uM#Sm5UQ)$)142pZx$9MG{0G;MPdISj$e!7;EUG$v3Wq{Yg1!T01xg! zVOX)&)M5SEzpJ#DceQQX{*~=jT62`+W4bpeOUgDJ*N0bY$%*T|(&NjAOId|V|K#-eBpbksJ zt@^+St8gpgNk+=1SrAFYG}VVzq3-l04gtE7Oph7yF&WFrhjl1&T*|BU^(4IS9h~v3 zN|cZLCiJn`HD@kiy^Ux@`S~d)Yx}rk{iBlEeQ~?hA9@PzeWi)DGwzm9UW$brrSFy# zH7_fq*p|IpZYsaOk~UqSkL;-FCJnH1=7ked4%;vv&n~Q*|Ky%-*!St@eA`cxlg+oz z#Q7)Rg(SP)?*F>26lih^n7dM#nuS?k&mP#A&DJTXhyU4kN1F6enQc$q|JP>g!c__~ zQoBCsyPa#Eaqr8bNa@6EXT(Gzb*)GHLGJ`-(86G!iD*3gUFnrim-rk@w2sS#anA5h zDY&muITtnM-YB#8Dq4NP4BYJS*7BCOnzL6a`JR2qn3&llQdm>mSX|ftZJIJX+WV>K zkLvTL{%;%qNrbQl?!)P09BqfyojUrZVvz;W!@)ncDv|;}Y#I7RFt(bCbIH%R6E?Df zU6-d-qr9K`EnEBo8Bv|-`m9*|@`~==5h2X}w@Cl*tH%ZIzDC<6zCMc`jXz98vs{mA z^r$wh%EW@x&u9rh?{$v`#s{S%x}hak+!C`=p_(>@d9xUbs&}}|DH*45Z#GqMI+rOf zF>T>#n^?_jGt%n_Ftys$<#%FvUtU)gkN+o|{$Wh-*6$M)iRJE%k~^lZ;p7WAv_V`@TQlo^y(<)p?S3?u`*S4g^2ct;�_R z<&4i92b+iL(E-r&i#NVvj&|gHJljf>Y|&XRjYr@Qb?l^|j7Hg)%Pv+GMV6zB5?Bvtg+_n`;;(RGmzBrzr+Y3&TDP|HI<<9y51)wXWnEHcvBJEXB1mZKd`a6`y7Eh#w)P?zqkqd@}Mc5hnOF>l;eywn|I=&1?Yg4IT zgm;TPAIj>pdyGB3tD9Y5R(F2s7#(=$PsuQ2)v2qj3=Sv~Kiu-@?dX>Vzz`G;}{wj&r-FcRzITC}ty`o+)fArTJPF-9QOdV6^mmV)ivwKG4UZ$E$i?Ouofi!;K>@~Iwx{B* zD*~usztsSL=$G4tWw_Ae9TmeE&#rGc;gF}nQd{GtRpf+;VjsI)b!ViavWJ(x+*hTc z)Z#*YIbdsNn7RGfIj+(1xJW5$akE-!%+b{@-XdLdfuDOYcXW1Q+s?*~r>>cF(GbJ2 zGMHC~J8;M{otbnY9z(!uuD~gMMk!v9r^F&YEq!LDppTA+n{k=7_0_)nU|l5H&`a-^ zEt_lZa<_(*VP?sj(c7`auLgZkF~it)&d$WZ12Z+l`W9ynHB;Q`HQbR2Pn90iDoo1` z{#$g-(v~!XNeQY^XzNnSjiH=a)LAmc&99Y)R4hL&8||!Kc+&dIgGrAwE3QzNQ4cjU zuJ;L}9(qz-Zw8}YL}pxJa*^d;cSgpN;izNfHm{CbdRg=6?DDpqlN-!7E&-O_SVB%v2>>Q90(vc#yLnR`0lwi1S|w_PlGJz;eW8r4{2Db_Eq ztJVxqDy>sePJA_7GF2p?1^A@A$(rU8U2uaEx?}nW3U=BhUNs?S`3A?lSJw#+26hxG z>NTTU6yXnH|3e6`aeqYYA@tTb#?+$EUV!#gl^iuA$)Zy{&5khbz2@P^?N0ZsW~7o1 zm@)G@@3vw71Lw4oim~OQnLoO>`-l4{)I5bGO$)Bt%~=!yJ8ba?GRKnykHbS|B2<`+T2Iywc7}O0o-{HwGPSwClPel@EDywI$gErY zfLKeYsLyWKnS&UT#zweYQa8X??5wGu5;!fBn5Feb-PUX|;ZdUKbsUo=$22G1mpeXt zDqY;2@$rzepRlbaMR1P0tX+$$%k-3JI77F z(}fx2Z2HD=U*H`Zg@dip?>n_?B9TN=N4K_J!2|bPIke~+WuCOUBiRFPtBQ}@k=R+> zC`hsC2ESGf8Pim7L$~7*@-ChP*oewegXr!xm0z=wn@j0i=l#{C%d^VuSJ+vqNjA-1 zH*EXX*dAP=EhNvsKJ_ZQ3$jA`wX7!mIw1*voVIu>L9Izc8SSf_@C)4W)WTw-@zmb> zT87o9LpoPWf~BFQN`X^6+7f%DW#Z9|v&ofkA}iV^EvToS2EKbt?A@(nw!aI@#JM9a zZiMFAHEx8yv`gN2=bS0*-5q?i_jHY?9gT2pE6|Jh@Y_QdKC}GS(Bl$93~g@x*bl>U zGiOhh-p}Ct776(+3+lx~iMkh;?G0j=Y^MtCId=y)dv}+Hm+jjjw;wMG?(A>( z?iSmzW_*WN>outCbi_0vE+4eK|FI~He|W1fOQ|?ZnO3Sqa{b%&;?39{l}Y8PxqYOe zN1LkjJ0)wg_^Gqq_8B5xM&Fd%@D>K&ED*+c=Q{K1fA zAPsxTwiMy%L^8hH{&2vD`i5wu-W91#JmO*~w;Yaxl)1PLwI?(S!F)so4u>EC_5(p^ zdZ~m1L1;utfD1urR|T{jHvwA+ZD@q1c$hzH+A3pY4;QlO9){=I(t{&l)R&XN`sh0C zQ6g(p8Sl-v!qN}tHB7jO7U5Ldjj38gq)z#?l-c`og;IY6Sl;*Bs`)6Row(?yL{E3M zW)P0jY{|Tfm&+kL$|0+AKmIc{ z)K@sksjphL9(`4B$bN!N5ro(U@1oDGrW{T;VmsL*z|tbl^iDpUwa0d{S3Ou$KixRk zST4t2A=vpL^nVCrAHqDjoTWtaj&0H&JO!H@6DYkoOvRo3(ev+FzuQ|?Fs8zaYp;po zVZW`FgCQA)k-L-9%T5VUBxXl>2OBT`*T&S?Bz1NzQJGo(V8rs#Vya=Km#@aS65Oxl zC)j^&*!e^%f5Ikx__OY3qdrTM9HNlkESXNeSb&~L)y_a`~T zGW(BTy&x-=SkGPK<=us|Ri#VC^t64ZgyBK!H~kl6bApiOFR6p|V|E`s_FXG#7t3r^ z&9xN}nhH}gUS_i12o(30$4~cCZPw}Ptv#$MsctQL`9@RbED1|9x!Olp{X5N--0J%m z%`>x${Cd}1v!P8#pXH3~^7HVuD&Kvq=V_g$b98H@QrcIt(o2o$i=(Shlc><9L&{f?gKYbb}Wy)RQi(8&OWR|phq-P$=1*e13wrn~BI zef-mxp6 z>Gzo4=^@e_X+0WY!p5T~=$ertB^A)7C8#Zj`$hd9A%~;}WqO`DPDVk23)*+h#myPI zgRa@;N{7sYp)Yz2Gl>;$Mhk*-U{g^{+Bk8?<0KH;w57U1So-6#aiyh)C4{t*+-}+R z!^`2^zP}^SqOmJv&tpmbr{6AcmWh?Z^51;CJ)qHUV>O@sPc~hzP2TnPRcuOedYaEeZG;emLl8ojzX&gLocw3Q|j0-;5J~Nk3N(rkb zPt-cEkycF5{pOxZx>?BNX)#$Z$3w&x*;wAXUF;_;94(8?BlVgs*D_xUO`+zA)waxC z5J#{2q14k^Z8oi3v8y)}ANQjv5Uet~Mly8X*Af>$qi zDB)?e@?czb0{%%skt$Yg5 zyJv2i?0=K6HI{UAhv(^aWoh(^B~rFG+zgtgVap)&TCYpNd#OJX=1Rp}M%!XSD7W`X zO8xS6?2J^*mHzfO-r#-3;C=Jpeb3=3@j4f5mrZ3p{1!tD*Ve>C)RRLDa%6K{QanrXa>ci1iTS zJcM{49I|xA4tF_i|I^lDBXg(Vk|_Ngr(0FMhh5mXK@O*z8;ygJ(VSTRJ#laxO>k~O zs2Kx@js=IBfeA;fT-GGf$DX165*lH~U-2z?k;zrR7sIt8dCJu>d71~ApDHcuL_2_# zB7q<}w-So(;bHl~o=(z8j>HC|=DH%kzxj=ZeqZ@r8PP|Dn$z|-KU$tB+?5sIM@&{U zL>20oIJ#zN^)A`6n59ea(-e%3Wv;JLq~lo~1E#M79O79$vZoJk&JE`2zOF>K|FE9| z5%13+@>BdwK`C|WIyOWxNi;sLP^Qpw&zW(-lI3MF?;f3f*p8NEQ2Ubh z!l3qY9NSx%V!6gMJSyo;cW<%Vk!J-L_7M*mAKKh!tbh}{jU}IUpC()gPRwU>woE&y z1bZ8cWqUn|O9ebD!p@o(D%n^(dw=|x?)K2K!R0Dj*JD+~b{o7Pshs6LbRQTzu*US7om?16I=d0UT(Ye_Q})`u+JxL!4KE^I1b5!$(A&8!LUb?OOxl>3i` zO>j}MaOtWfQD$Xl$UxLi6iIjCZYeT6r7mGn%XV&;0qO0Xd?RvBQ_aTN~E$Ov2 z&-tj`QTpt^CjT=*_`EQzyYU1qpJvuvGM-5(WBYf8W9n1zG>-zF%AjlUmDtL;GDxF^ zWH2>v%sf>$bc&68z1|<5(2Dk=HQ~KMx~!bNivk{_Eryd7ZLgzZ!KP7@Zx~2ztcd%T zM5}(xx?K8P-k5%98}Eo7-N;1rZsuc$mJ7(g-Dn*`(*d>1iFAylH3I2QrmyD=qV`HfiP1Z?vx{J+ zY;9aP@T6*s`l*U$jceP3sh1GF!!`4dqHh3P#12dxMM%qVhphKH56zPPLU?SfcR?MOx>bFI?*H=l1oQ1-6wwNd9h?4(2XM zUQsR5k{$0SX}C`=*s(wh5(vsxlnY84`*dzWK#T^-h;!^a*H&s74NcoTUe`L-b1WT| zd5Mw1eq}5~C4~h-V zrRi0`BPVFvjUVLIIZacgTY6ADI5}O9E9JiOmK={|X%y3WIH+6sY-y612x#@qou1PL zEd|XfXR?yqXKqW%sFx-!pHvhZS7=*sFIznQFJy}~ORqza+Y&{B`sImmb1rPZe>E`x^p{0IBKZ!qllU3p zdkZV7z>VcC&G1CtB&I64#D>9=DJLLDa2Lya?`ZC!W!NqCOf-b$I^xyC7g;XR+3hF| zHYm+RWyd)xRBXt}gQi=k-G>{x5BsJdd=KTUAP4IE(7R~RmCfyxrPPS>^ep^#o#lw& z)6DwpHl4ZK;fSMARlKViPOlQ`i`Lw0A0KLwTgQSahc;b=UgG|>kN{%UCy}aGrJZIo z8Wp^Yv(H#2e#(?Mt(7zUtW%eHBB%^9TMlQ`^k#mPX<}9tYeWyRh4fp_h(}t@7o9X| zWR)}okGU7*37~ha|8|I9s#=pL$lV>hZoPX1vHHAo=dQZ_Nd%&rpyuOC0kNvQ2l-go zm#RgC|9cJ~D4k{kL${&+2?)n1;>33}|MKQQmbm})&RoH?ilmYJ_++A+KAuFE(3zC(TL}c8J5y^BXye%KZ|l zOclrUSV8M`L)pUk!2tNdRK7G3))`sc$Qc1{gpPq5U;wi#F(9d)Z>w&|*9Km}CdCJB_$JGmUD^ zh_K|2>y+*B{g$JcNN9ms_z&*KBg)~Urv5iA?Ddoy6qJdEa@y zraQ%OpciW`Q(N2zxY0Dj$`CYg+gLDCy`0@XN+L%L{XW>xJrK?_v z)WaK4oj-n{?EntJL5-SbE%JngZD!0N2SqTG8txC;+Yy%VGq@>%^GA)qn5Wqt()`2) z0|$Pc?ym&ZKfD3Q>1cl*j|(ukrTBSW8!peBoeU3mb1j|l-*HAg@g+`&=b0jj!{lrl z*rkaNKNo0v8EZh)d}Xz%u5WpS+SC79FjR+Hlx9Yv74EAKh0*3FO`~#v(>2^LuVTz^ z1c_Kb+^|1>@ZryadV0J*`0^E;r=RKb_~=m{<~Nc6tS27Wt#oNjb7 zQt4&AD(n+n2KC%tKQX1~;lj!mIH1n*imLp_VoCWf0-6j(eCb+6t&B<7Gbka*H!DGI zP+Y4=(NpaqcsDE28W-gx*RSlAfh4o_>;2FR8-dUl2%<-Gpq@&aIRcLlRL?H10$DW} zhM;{bh)8H#LaDJL@lgIR2EsXRN`miZB{IImVETJt_j{s!o4E+&uke;=4cLtu<)RKf z4h~p=kD_!r_9w$?`VBLF>nEbov-`++V=G^YqNgMk@#S&SnDi^`9jCozJ4?9L-cL^S z7___A!q=u9C9ss6>}xZeScSwc`f*~WpKiG_?+&S>KFNKCwemNDhr4Ev@#Sc-JVx&e zc#M?6+!SKbQBon)POWU9N2h3vgOzo#*R!+CsEXuC=?_~RLqBdz|1sd=1Wy!>#N^vT zkPwG^-shhJEi4i6Wa#{_*7eZf_$!~mj9X)OaF8Okw(PJYn|!kzKEz(8;$Rmd{399P z16iZa%HMn}O3`z|=ETp{v_8_Y9s%X|cy$Crd4|)`h6^8+>4hu_)6b5)hS!19QH_DL z%$#A^{3x0#{|JE$eoQrEj8i80sFe)fn9H>rVq6e7(8&^hz<_1^sM*7;!#*Z|uBGX# zMaKct4}6O?MxZLJ1h-cS3p(_)pA10Ej@n`dgTpPV&P zy$D!J7ZVmU%&Hv6n$6ewe${<(DQ>05+)y8Alg~oE=#7S)JO$S^SweaYvp%jb{%(T> z#{T5^a-;ENm9i2myFEhYonM9+ab1!@2i1$dHOFk@EK!lu3+Lpyv*BTS2S3E1$lc?c z+uvK4f*$Pip`1u$CVkh+^w$Z`dUSKJImDTtdol$fi&bFrIYSSgBh6`{SC$bQ>Topi z5*w1CS7IQ|Awlio_u>tnpKlU2Nl~sR>X?7d{JEOVdWsX%XQGOCalWw?XBg^%M+H6= zl1-%$cG|Y`_U+YY&!PV0+e8}Uxe3^>?g1-eChfk$*I3`hoLAqrvikl^B-eo)nh3p` zED0aGQKa(I3+BUU_r3GlO=t-qC`GF>)P1()i&8-E= zfhwMl*jv$pVF!!1S8s$&9IZv)zV+d6Uu|a{7dE*QA6JkhcL+cU92JxxN1R`|d?_$3 zm)~JxiEths0rNH%XN`>$?pjLPbjQo<#^=q2@T!=YLx&_)@T(Gb@obo{e3R%O7fUlMrSt_)=Z2Ix!#-2gHIJr z{VL6s&T1FZ;yWIL8&~}w=VlarU3LR3url7PEyG8P9L>Nxzgcs{YOVc)Ck^w3fPr`r z0acnaATU-j$Bjs2vG3x~O*FR)w1%}i&}1DEA?V`z=60tMm&ttsIyzCjYLN6oTV@aX z0g(`!_$&=FuHzI)flsWH)6e>5KabDov>|*&kDgi8E=+_NofH=d8vL$UbeT`Lok_eK zXBYO3R2GiJ#oB<3jHtlzLaYUwudj%}F`10t!9eG`M~P^P9JuVB9lNm9aIzf^&`&c8 zZT_woXSb)F(}%Y3RD4Hf@Q~5;U&*xo1?=5OJt%&crYT6?J|aq0$@8n1aVq-E=MC?~ zs8%b^^efvwi?tiy1}kcBm|YEAiHTAP>e1<1k}O~Cpj8M4XaxsGQaJ3Inm+aZ$Vz@{ z9sp-@&A5Y?sqjgZ5@R3n`MNl)8nv3Z>F4_Tn~D(FX+rdr22+R-!THm0)XP$p>eJ%z zj@s62g%>x6y=}B9v6cwv-ag&qv^0L6&96VESMId8%i1;Lm6yt^7g6aG6jW{23}6B|oce zq@M0)n9Wzw$9!aGr}k7&H>`SmNm+>O-s)y2MRD)HcrlCsJ=>kE_y881astbq!|(ao z@a=Cp*l*=3QUnnkR?gnGW{QcfX<1rh`BU(+b#;%3_+I^a738IheMyY)dI!C$Azu5p zcQS@Dt8HT5>#wjLnLW5iw?achzt`9MmtKcvOdl{p0#sTOauH3yGZxEbrf50D@ICLc zZv&T>uDZ8&uKEwIriVY;kTdXyZX=@h#{>p4NaRp|A!4W_XAwHS&=E!YYTlQlLj8T| z;A$&ij;mtY%lqaWzi3J#Lo?;-XeOjzRQS});p5y+;iOL>?dTf4}T`#u7H_t|)^AUwGd&`&s62wQ?Uxvn?D-+9Wat zsWkMNcqwuG{(^~WpdgW}F?=xH;Bm{}E;hxe%8CGqK%h`PyL{>QM(*pu40r^ZSTGn> zvR~C~mzW8iLNaJw_4f4mW-kj#4lVJFkrE+N_Ybwdbesuy?%2(r(_bw;7}jd(F^!E> z!_PMlH}>vW+w2r97H43b7ZZ>?4T`y4E_656Nm7G2P$L{LIO>$GGni4}u&zHFBcTs{ zv{^g(JiYt_DoD8RioWv(uK5u@#Peb_O5;7kvu@+LFh{|5ECCAklpR|z5Y^Ct`m(?P z65md7Q?)8%WARS|#3}{#lbj7R2*fx{$kOjn195T#`Nitoe=g9O&GVvkH^>n;D0QhC zXJv<+g*Y$c)_c=Oz`9K4x=jYaTEv}_zKfnY@Hd^Qq%O^e%M)a}-_U$2r%r*vfA}jO zdsUKZRX#?<+@bV1R(n^DV{f*2IwP?S7b3i4k!j{mZ1&_>z}J&`;V3U`*P7N3^Qv* z*I`oEXi}H0!g^C7yECJr0qRClS5+YlTcF57e@DC!u(5J$zJ;8&?uw>1J_%pnK{m|mcTOt|NI(cw%v-j)Q`d3V1lGkvV z5#O4>OohDdHs^*{@)rK}ZR+YL)wl}6?oRQIi&wKUUl0PWdA8OZl1BhaS=oCE{L|vI zmIPa_jf%IG$RGBFe|5ot`*9tZaPT>^PddE#uh`K28#4U!S@Mtt>Lik_>vbKz@)b>b zgs;nN$4u>#p_Z+9^2z9lRVAuKB`nq2rvGfEL+Pz*nM*{TU>9O0M%YfoGB9nngsU;u zwuRA7>VeVz^1U8jcBzt$ZBVAee%Kq62tHq{Q+!(9m!fV8!CyNK#-&WYv~tn1EFkN@Ss~0B`-h>02_n6vk@B5+GN&v zwO_}z;oapZSQVU=jtmX%$9}sY$pdQ?`ysv;@$r8xg`h|xOO+@KK`tjBlV8Q`<13% zyUvrDm9;m%UCAtxf5!V8v&X4i@^jCZVLLBQ$S#Wp1e1JtA_E$-Dpx7}?w|^JpWJV( zdCt7-OUG{Uk@gynN@w5Pyy(hNb#kc_zqAS1c8a3q!%$`Fe4_jjkaA(+g{(`g)S2RjX z?o`Vsr>q4F50h80HkN!;i%)vT)Lr@c;;bxE#d8Qtftx_>J7o_wI3ra-14V@&Gx_JH zG#*r$R-(+ha&=zkt?Pey7YW_%;**wl!$*whSSGyI@e~p*ZP@ZQL_Jt`pG^sv?$bP0 zZ7$RZOb|~_jplIDa?f9nk4fxVkxq{qE11AFT5_KXdeh`|;p5;|mRCNwgUS;ZI~FpD zo0uLO6=vr&QjDtro9PVxO8lbSChRkt$?S@b7F;G{DZ-xy$t8@?XlW04QPUOzh7DTv zlm^*SCcNm#xDS=JvUQKdQ{5?Ca?@y*(`Y6px%YWXEsoSC4rv#)eJjfX>ywD=JE0AJLaT-m(x%Tm;n5E< zZmdutVC1>##}U3ORIQ-}K90PQV8M&&!^^8!M=zge$E}vl z5ay~7c3Z%RlubIvG`W|gpU0&XVrv&RR<{=yCL$&09_--Hm40r=8@uF?SCVQ$Pdll% zY4MdTjARoxG9k`piOhuSA4@#LhlCzcg`E&6Dea{7RyUskt)Pbnk)l{uH#_ZQsx?)P zyL*w6XKc#5gr5Cf^MaH*wQn6-pa}lw+PFrVMHMk|&%YEr(U$Rg6#wFz;gsl7L}5G$ zBRw7hZ4EuGnqNH2D(Z}pK(SFrhQ9MeVZpqQ2(4PgG4dmxs1q&dES$j*n;XdHO`GY%dV@`4dcT6 z@J@-wx?mE=6i11Y4_9=!AzC$+QmrCl#ce4}Kf@TLS{_N!m1-MNn3Kigxpj}yh;n34(s;y+ zFphjJP78L8mo{Of;z)Sf$7=QZd3_(<$EvlbaPh3^wHat9vA6>GvQH~$7p;Yfv~X|S zxdb_0OEGi6GP{}EgjGu7onwA6dWmHhVbd+K4P%?=U(5dZjrp{?U<)~&wPJ>$yo^GV zkojyPO6#7aET)->#Cc9cpy^p$3`lV8T%-SW_x1lME0 zGeVz8@47ch^v(67$11}L6(eeEUMDZRV#r2rW^F3Xe+2{Ruz)JQUH2>Hn&V z+N3wE7GhxF9Y!iu0srlGrqsrH+I^@XkEJWv>7?kY1qCftthhdGHYG9GiEw*sRNk1i zQlRwKER#~h&StQpCDMt$g)P0B^;bco_GGhE+@p5Er@+xZ=%4<5aIwDhBBq)H-_jw% zQwoEVS6cWn0twmUEU&-_mVtR_pdtz`7%nMuM2dRbLkxzb_bwjFy&yJzC zxI*P@ptcxpAoP=eWd2k&lsJcgMmX`=yKL>y^hRn6G)~@|5g%=|??)1Sgq9B9o}Cd- zP+J^yPq0e#(P=$Y2f=d*{%85kI~;!x#D6$@rcdy8m!+Z`ULujCZ=S>T5iqb`4Y`id$+OB;g_Neo8El2DL-PUah^f#tC-^FZj* zf{Yl%@3+6%^|VmKd)S{hh@0e;MXhg+{u+P-Xm}*B`z#HiX=41Y1h}0Gu z9kA__sGPh>5E278Xat6&X)qWB0+^r1xG*biPyU@x!THCJ(4N9zZwVujkUa~GsDK;m z26=~?c|b_gulx#3b8NxD#e&EWcQB4yrc<4+>~dxTDKk~%ih;VAbV^Sl0UAt14XXMd zy8M?SI{{B%pML`LP9FsZO`}fiEm8WSDLo;$^!TahYjKyD>cKcr5)u8tJnDQ~g-Hsv zMVTPI2XdPTGxxy!d+{B_Sbl~@*kMVtC?yQe1}!PQX-+cGwat<09M72~`jTkDiU@|? z+2Tjp0qekg-Sgz`rb229E?h?)t^rWjq+La_A6e8E_TOxV>+mcco=JIsp|-$#jl$XR zbTabEzcg~RsTRC6qdVG;|6Kok=_$x9iZvvR1RB9&yUVQn(DM>~(!pS) zk|QG7m^OTAEWF>oxxOWFa6m^SBYSMD291ow8AtBy>kEC6Wom)O%NuMs6mM!_5c?3J zckb-lhE-NLhB(Z<#jL#BOr#7&AR~hbj2vyV%#4EVVf3r2mgp#{d^j7~Gm~L{o*YPO z;HpRY|J2I3a#+saA&@QUR8=FA88p-%X`td*1U&13slZ+@!Kb;dd$!yP5~`~AUTk;k zn}%TVHkexA5w-02Bas;Zl?H{Ew*=S0p|g*!V~Nu9#UXtJOgXbEdmIXWge4r}<5ck- z);D#LT=Vl!28n=$1iVCi^F6Pa_06=D^QYZRkD9m{^uL9U&YVZ#yJs}z*Y~7g z>C~5yULF&@6gVn_pl@WaG;5)I78_Y{dBc3K>g+>`aRXN|gyJHgn)?Pk8%88cN3-w- zAtLBOAy&Tmd31aS2c$r0^!rkwbA`Pamh%sNd9VVFJS25+$lO`akAg-zDr}hU@OJhE z;|H@KVyl82;4sf4wX3SDh3DsSi5(n>;ke38Ez15Ej>isYFE;2(0vAe32L~ziGNJkT z<+I0#@Jh_{^AR8`_hK*w7$EVONl-b|;m(5KEmPbcS9V)-0ptwz=Bl8nX9P&gPUuMK z2>zXPu+%g1FuEyu;Ewq243bbaGB0n;i(k#~fzU{$B<}h7CjQPo?T#OgH(dy12D1Vs z8oP&Px!@3jAX{j!#4k);8dNtYyQ=C6rlGW?MKE=S924G~siW{VJiT8*c3xy|CPuG2 z`-*5=$op78t7C0GrAe^P1FLzl7;^@)#gj28%>=WBX|WcGEWJsgs@f{d=?N%A3mQ3E zJ_ydJsWC_nmp?@?C%X;@((6a$XcP0yuDAat4mq=?b+&oc?;G%LDPWkR8m)oZ3F z|6&gi1D7gb50CHd;l5;G55;ehAP@F{32uh2_H-h(maLhQIT)YVs+Xi*nw||+LzG2a zegyPWdhQ4Ci5xXRR`jk=9{U5`u;b5zp>)d9O*Ds7I&Pq2^2!||Mlh?I8U|2)+ARrR zai3gbee)u-ynquPD1yLH%-C&YJ@>nPp}))ExXA-3b9B%#8&J_H+26o~!r-zmt+DdY zKeQSZNzs7abTN?PyC$^;O+Z;7RLKaB+`$PA4YMIFlLZfbC10j7PZ}&$+A%ndwb6c< z*ZZ*D=f$t}3E)>qbQVS^VT2r9s$e(C$sJfx^AG|8bxm?6TtOFh%^P=iS_goh!5qZE z@!llSW$7}BB60_c`$T$d|*2|yKuYI4OiT}-%QO;(58 zI@KW9MlvWc6w@j>8030f7{Vf(x3{dg^_|L3!KItckMwG*&Plrjlh7d$G852z!MRTQeg=-i_Um{hO9tiDGTet;@2RRC4iOwllT{~c98ZhxT) z^Z`{mI|<1hIy(WXVDd&oS=#Q|*U|5dz02R%M~7U1WdZ1xZp{NA`8W$FFap4f@Byfh65KjO!MVHt?Yi97~6H{2qX_ zz#Ivx#Z(LU&rw4oMkS@yh=b0@aoP+-UWf}Fp#WS2v;&Q^!NGpL7@wvOE+`aM|529) zT8DHp{C3kiJ#ptvseC5@o(19gwF$thu=tvkSP{6`8sD6-@ zn-JuzBcH;wna5OXnI8F1!iyus_%9&6{u_(6?+pcL)!$8v;Zn&^3<0s(PXpA+KsTm( z_Pax>=@F$!3jq&U0_y`KnlgP)-PUxli2KCaEXs(FFh31h|ACn|1Eh$02VA9wO^b}* za|sue1Q;e!-yhKPXrKpyq!v?6!Z0w8ju1)wKwm#9gp&?ZYfjyVQKU@)Q^pi<|691!mXH);zDub66D_JMhJ1Z!Q1K5f{0HEZpw0^nPU|86ga z&#++B#w=p09|3N{v?eSJXb`dX>zL~1pE9UOY1*JFAgeuPKtNS7=_sB;;_(5vU;xD? z6tJ-#S8V=5S2MK2ASU#tuf*uQC@?^+5&w_~Q^hWIb@IBp1gJD%B2bTmk*j1STPBwT zljHx;ueKhNw}Fuua84lrCZA;p0tAEke~*5FyHRjU;5BdmhkpHkjDGC^Dx{N);S}{K zFfuY6&%pul`^pzK#j0wp%y_||6-q)tDW!5w0(HspfBMtrvF{Wiku8Ey{eidtf7o#GcZy~!MzB>OQous=Ve{t zBH-t_c_Q{z)nT6i-%8q*1`Jb+XMTPp4HOJWTaqrt4LhFaiQKAwo+Ba1O(wa!C!(`2 ziTjkG_?~eB_wS`q@ zc-BYqE>$4+F>#kFv!0hCndi%egaFX7T)yLyJFr_g**rTzBl^W8z&}sD#+eKl2b4dO zlYjomA`n`U2cK4r09gWQ#Gn)`oGkfAT`J5yqkyY{6aB13^AKO1KUDN(uJ`2&m#W`p zHpy$I@l1D0;~%p^)_zFNXK7P?fzbF)}_m;=}jpNhD;7*mjDW_fP+FJ zC}C*)5k`2M02{lnS;Ia2{C%r*AVGGmbj?&_4gr$B)CJmS{omZH+{@JN^?%)`#aj#M zz$7DgfDwQ|(!>9G8`03Es+qk($E8Y-nt#4k(iI9F6$jjn+NS5BKRk`}m&JntcUd#7 z{UO3XU)kCD#fwsOjh}zMfgAIc6QCrrX7lPWuv#uvjBEa@HeiT`0nrQS>tlwPAPGLD*B(0&3tZrp`W52N>c2=)u=5Yo<_F z_B~U9lmg)V+X3fa0-zCH610Oc5zT;sMboU~uD~xBK%LjP3Paf4f$Wb&0j+fd>fZ4FLH;ySu>KK{U51R=05I(IA=@r^{E1}40t(! zv;i;YUNqYVYzkoifR!-VA$W+m9RmhNxmNe&ZO5ydowZ99IUenZxsYV2}tyLMQZ3hIk8a(b|I{8QnZWb`^2{OgJ>6ni(m!Vm z3au7@WbUsWdZdYp2n&Sfq0Y(f!=-o;d0cg`b2+5M;ANp_Q zviBDukvxDtq5vZwgU=5`H2MAgI4MKoU{slr24Sh$LO1ua7A4muPHto0y2-9Xe3N z0F>f<{P3WWy)(72=-~pu2h=l3)-5?8UdTRRTzsRc#R*I??FD?pff!`&?Xf)8bHLAF zfD&e0qudYk4PR{j!Ac%3y|)sF2P*+r{hO5#0xO9;1ZqrRXhtvPwO8$>h_J6}l{-0LL{(nK$@c(jM}S?x+Sh*cs8Bi!#b)*i*I%Fx`LH5J(c3-?)d?OuM52yuQb@F$QFA2k3QA z!6pCk#N$Jjm#G(_g!AhUDpF6-kG*U_MM83ofNWKI&G)hjHX0N|mp8EJ}{(AmJ)vo`4Rqg*n zsv5w~yQYnNQVavv^fosDmh`r<_8JZ#n994qM$&7=d;z5pWv_|o1hSh=Z=Yhwtf0NU zrjXJ?Lns>%-)iNl{rSl_=6(a3*l&Q!Zdnd_IxYk3K7P**s}{K5MYE30A?w%lCO@W` zeVzx3mBzD#aRTn1eA7`JJn#nHA33fe3aD2ZkSr_7fgFGWNv0ykKlEY6W1=?RGzBPa zW_+80(a@%x3~;|v{ZmNo8v`Uy+wvUM0Hdai7B3#{)^x%aPe4ff3{bT|)hU3ObukP5 zp=?P2*D*_FokU`Tt!-?O#;Q`dwP%-Zn+^31n&@pM!pUE@2=E2HwyHlq&AE0N2qyNAb<}-xQ>=nLJ2mA% z{`q;P_BCEN2aq|ie6WDPw&i}@+ifc&Us4V~!*_}sK6+c;30!1>5n!(@A_-*5 zz-tItT0pL%3q1z(ISjxfd|Cy34Z#esWo&31#y?jZK_>x&JrWS&0LEJEj>J?05D&-n z09h_XrW)EXpR`3lq*B1DK@$)FN`U29W7hzh@Pf!tQZKSXALvU49QV6FYFb-k!hh); z_RrUvdS8M!S*g85hMxhRt=#775?O)HSbPFB$VOtj149B%8Ox@T@z1-=A9sx$=&hc9@XhY2fgnN?nFC}ucNJ40>H7%WCtJCy_wfN8cqssHD+>OdYs3b) zyX|mu3<8yaMvw$nI6y*O=~+^p)s6(bEp!34l7OF=bfMHZ5}=Z_<4J5wyn;P5UE}d) zz>Vu0d{YdFc_#n|RnNi|2TpMsI3o+Dzc;3af#X%D)Y>qGr$tbWI#3$EHX;rC!dp{Tc`#{Afzz+wYBz#rZDuk(`5Ve~Bm+h5%o4Cu~(DBi#8&i^Y)00D;dzekC}e?|%5 z1pGIY{9%iLwDVt4!U>>c4nPUOO>4@5{QtKo*#%IN_Aiv+0w@9Y`Y$K}|3wKHKnWY$ zY2A_@fD%9^0#Nd&)_1Ekl6m9B!>-_?Jr6al>ja;39N8HgZ~31e|(aEP}2S{ zl(79p$rf+>UzC_r%>6}4`yZ5m|Dpsq#cAM-ESUb@nE#FvptOIW1os~(`A3rjC;@{B za2MO?xmx#*w+;vCCvAUWtp(L2dw7sd1N~)4n&;hfeA>~RBIlCZchX5>rX#jroje$nVTWnZu{W4^ldnOQfq%aReDz?(YZOh` zwPQ`(+PR@g)6%|yia}U0hsbb+V$X$CexzL3R{NMU%hT%Rk;TW_WOwT~_Hb=K-=_TY zNx#Z@@?yEFnQ3*Z*={(TcC8(>CWtm>DQ(v*X80Lu6KlNPt>o_@%#fPzyx9!sGp#8m zvS$Q5nzsUYE~lZkE0`g9ZrVgeI>(9{9SW)k?|<_$akOv-}4fLM=EMK-UVZ5eal=rb$%D9aR9N&dsn z61BGrcTE*bJSKmVu7j}egxQrZcHb%<~7dm2i_TuEPT`Y)s@-D5XyMQ_&NabgrgwW)- z$?!D2$Q%#&hN8N+CaA@?G@$@}WZ)!}(CC{cY1}r#$E>$og#G62mv=|09Pgbl(%zyl z*SujEUbtGTq7Y;=nY|$9ganT;=?zA|y~Uo@nc_43x>VUIAlBF4Mpa|bGc zK)Nwa56T{DsKVaW2g;rb8?F>Vq^LtQ^}$nAo2j;(Az5#Aj{?Yoj1*#AexDwXJ9y6T z?4umNOej|!UlaM5qr@0X`XbN*<)8=$Y6U=dqJ$3xrJk=}5F_}u%6(+JizV|D@yDo* zp*n(x$rno19)oNPrahQ^!X7VUi9F@TeFFI4p}KuuM01IFKn;9#ig-WvanYywV9aIY zi#EJCso@9Z_0_@!y-hWd8}^Q$=#YwOu-y5aO)X`FOi>b{M3>(9;1&C2UA`zS6U=`2aCNy!8DW6;UQo!Ksw^f zUb20lt{2ik1+lbVbPASudxM!W^R8ClkUOP0-K??t=*NyB!{s>SU5}KbLuFX66_H$j+)xR9(JG(K6B=C0e!6LLsp8FMeSSaq?zjW) zHaRU0qYqK#5VNdG?^MtUQp?IT(=KjU^!t^6s*FB)V}>Bk^3j@U4RWk!WQjjYV1vve zYiQ5Uo6Wte{0Yk%PDN=(hl+*6>v(uNGNi#G&wugm7pCQrs;j#+aQEG6%bgSi2=_bq zXBt7tk)DWvMO9XRurY{BW4u4us(26ME8*64c(MYD{Fkd*de|Mhdl7PfmzSJe?-#Eq zWBPs#=?h?p`Fh26C-UI7S-A-xVVZ&yij7gob7!L~Cp#Ci3+K=?x)@fa<=0$!df3t& zU%QHj%1g35wP&-p6*^PzoH|r_<~Q*A3U1cIihB8u)OeezoRISn8&3-@*@EGEjKF%D zJ&-GP^%1CC&DiA@&6cBBer= zJ1Te}R`^?q*YueF{N=q3t%G^L#c%50l(0p@J6BD%tcMPd+b>RfxPRhxfnr z(B;FqjJ`J33T*Vwt;MO(>eTlNQX&xa&?-|}a+Pa-+)9V5hW%{1&_CvBZ&voeeanC3 zZo6+_2$h0d6aQBKz5!eRo@)r@(x8{t6fJYAw=R#DekHK}F# zI|FC>be?Uwz!93oa zS!NoDoSmy|Gf+!?NrwPAxt+FNk>#Za%1`cFT4F6Dp!6)~;iElZyS9p0hxmJmF3;&V zd9UPD^xV}uyk2vI81&K{Ad6#0zBx`{Cx#6xRy|oaGqwwowu*<`HIk7%irkvZkd61Xwl|Mx6aml zKlQ?LBqF0#(XdJ=pK}jW_-X^OFEZT~=>7yuKqhsJq*t(z@Lt=5%-N6}`a#tEUziV(Nj``jtdnibZf&`JTnQo?r- zSi>YRpDwsQAAtSm*cHhh_;xsoo*P>SkS%JxSsE3FAftc7hh^xTfXcG6u~+AfM?@17DjVwJ<|o(leYXhl9T&Ee#{KUW#F6Q<37 zsL_^;vQT{qkbK^nV^h{D%e*>v%ng_(jrO|)@S-j9qJ&v=wG1QAmm#y{$L<<@=s>?n zjPl8_xf;|(CypL-*lUbMr=uJl48ui4A%jI3@FI!;crnP5yTa1MUU8(xpH>mP$QbMt zAPruuFtGa~$H!^M?I?cH9u?8IF8>;0$&C*#&js=$m*(#Ew9Kh(2Br4eq)4Fl`~lPpEicu|ADT%Kadtv$7~Nm#4idxG~M znd{^&!$pA{`aPO4z%RnA#(>Wnvv!nRalCNSA|o}dSBM0@tVl6 zBmosGXPp|>40hGvBNRLUKgphN!Hd`&Yxiz$_rF#Mg{4k`Ex%S3H zIFWDVlKULS7U>3xwg}wzzJEsAsHj;wVD;+kO+cTWobDZ0=9N$xCR$*KD9G=3qd_uOF zE8Q!d0>tszExD`~9G0r~tIQ_NCUYlqrSqgyWK!7D*etoMHNY!X4^7Xp!>J=FIce;) zY}Oi{E2Z_v3#(wkKRLT|Es!BtN|8o)`JMKcj>hVjGwo|jKG!TxVLR=Gay#VWb6p~) zZ6c=OJLT&9&iVY}p=i=uX$qd7nwNLN*=2Kdnu6$NPvHt^SUK8XvMgG%Dp~>;rPe5i zFH&FD3{;gcSrr9Nd&nAzjgrW=7F1?WkL6eXHPCf{(PF`|J~%qFLBj?g9POo{rJ2~XyXhg)-crR&q?_CBo0DanaR=Q(HTp2?YzYJgy5=X^zDX}6v_&Xd${HjMIWS=r5xup`&pAF* zK^=v20iDqEHY<(}{*9@;K*Q%lr-Yf0 zPYIZIr8D{w6XjxNOW7>P^2xW9__vg4H~^;9*J7`xle^Z zPTfquqs2LqBiXGIvt0dLAb%piXo7q|=RS3#jN!P&Yu*8JnDPzSHw`+^%yz7ll)Tys zk3WOOr(M_Q(&*51tn%GGG=-sG7+;tT%JVD%FU$^@4%jekOvW*~HBY}ti)EXBO$K58=AY%5h&;y(BxS}2`{@0xpV zuV@m1a68o<&Xwj=6{cM#;XLR1@uUrVDc{|)0J?Rv;K(KNj5|H}-`0b0SjQq-^z~_c zbR3;pr5eyT$XVLBW7waWj*>==?@aZU73NFd;Hb=cN`(i{(QcatE-Qrp!8|Y#a$NzV zwYgLZ&Sq{;c|k?Cymc+B-MJ?9qGed>Wc+}WCW&f&&hO=!K3nVj$k$bc`ICMY9LoZ* zWAssU>%u&y=Y@O*J#Wz#fS*jt&N1Gk!GyQ_Zo*nGpK;C8yAx`$M_ zZ?qimfAl`M2EULXi9en zh3n+2;9gx!c;P1fB{aVCM^cBS{@A_Cj1c2o>Ku#VX*1`sYK8Z=!vMw=hxnq z#vIPlO|@*requGuRRYhDFRzRG?u)@gX}e@Q{2?UYetmfZ7wfF-=M6WRy-ByW*pVR7W)6S()557^4mZPloOx}p=`M9i(s_eeRL|1Fje1&esEG&YrRph z*I_)>L#t-)8HrU=2VuPtZ0kjM85INFDrIs<{Kf znGnQZI|OHUq7!L<`y;gxb4!c-Wr>NtFDZAg(g;XQLUS5yBHCpVKaQh{S++|0lVNok z$WX5ZZ!=iuLz4h4K6n4=1UfmSj>MF1qbIoAXNjYnaUb_HX`_`G}!tr z|Lh4a7yIp?WR*lPuB79yc9vJP5@H$l4z853q8*Mc|GkX#7lf9HB_el1(S)Y7DMa$SBEWcxU zed^9LSs3PTKHtYK$|Q@W<~L+rcNRnU>M`#)O$REN_FdIhzDv@<^tx4EH`&7Ua#s0k zZvPlDddAWV=8J3&)05j8f$WyqLIwei<6kXaq%Jh(I zdBcDY=1N3Rr-rnjLkg;jsn$p{UGZSu(t%$_^4P~2P|iYv1S@@7_*GHOTMoHu2PT{=|aj>fG_Z zr`3Vw&ag{U2YFkzgP`TKbas-gse{KegM?vSIx%X_pS}Nturb2=aKHPv!-M1NUHj`k zDsQuFwS&EYd_wKnr2{8^$897}w65t|)RWNr2ylz#JLfvN#fkpjvl6qrL8ARsonO*) zTSe>D@^HJNFp1to=K3>%-)oVV1!BF8EaYn5_|Op#v|6*9LXBf&AqdY8!d`7|o(ZCP zKlNc#aWNL8 z18cVM6YAbph!JkgB5TzY{I-nSp5=yia=_KKj+o52k}-9+?4K#CuBM)c@KpM0a7uDf z-Rh}>M3G?1lXV>^gS_9bJDb;(MYIIk>Vy-*xp}!fHbgtVUA{ykU9&rqB4g(|IqT+v z^O?vVCASZGsiN`Z2vgcr92v&3dqU+AwIKPN$3ygH3AD=Pvb)yoL+{rYvMZsD=Bujs z@)5O-9kIpf480kJdozG}Uxax1>aD*^$(@T^kH$CFH=0tqq#5NUery;6x;z;_pR})F zG&BNrZRr-e=vgoWj|BST##M!U=gEF}uu1##7G6`C_5RQpGm#*wzAmJY&LdiaEa5fS zMwzgJ%eoC5vFz(HnxU(-OUfC%qT8G;aZKtll0)*uE4L=XyZ_h`bxN$2tb0~%@=%V? z-;w?69!4TLgH!(3$d^_ zKv1`zH0eLae^(l(D;WA=V~6`H4)YQBd~^g>@56D|mqqigLwP1S6eY3-|4p~hO~$vM zea$}{^L2&=EJH7Z)jylwIe!SV-AZ~H&z}j2d<6+v6}@6n8g{_g@aY8lYL{YYzc#zf z6|fvJGA@JcX5uK?gx>plDs~ejDxOqhm{yHOaQKZtple-tUqq=`gJib_zFN!qeBJs{0KMf_Ba; zg)h}Kvs|BO#+%yYvRuDs3fr4dj~}Dq#Zp2*L65}rj$OTXMS1^S!8Mpu(DfGurAysK z=FuFrO}NvC)NK5>^tq!oG7M0-7+Yl>X61r<;Zo#dM4T$(UkFg41r7bWF6Ta-^I(YM zS+v>+K@7Ccrj9Y6u4He&+8VgNHu1>v5XA)#l8FYBXV@}*=!g)R@vKYCn#~Xa<#$bJ|afO$Q(k z{;0o2(>pPNNYs{tq>oq{mtb)&_&B^=CY)p7f(A1Qf|l%-Dp%V4u*(p&&W23P)gg=+ zBl$@flz8TlfeQ0+H0VRnuJDhp5aA!6lC3KOv|7ebHq-*M$x3mjOA{TaKMjha+`9(% zeUcMS&!zq(s|aTh%j5-#a)vG3=7juqQSd`CI?7 zMZzwi+p4`Uujv9NBIbC*fJIGlf?2V2?sxu1Zp!AjWQe5%xcHLeK9)8-G19!tSBZrD z7Y^h2Mqm(zm{b*qK|Ma^@6NK(^-J3vfv#%k02|A`YOYxs?LkrF??doOButuL-TH+6 z7(hGuVH>ZxoWTuBQbK;*6RJCzTFGJrI;82J;aoHDG`{czV0;V!W<$d7 zvZwrX_&$T%=H}goj{Em*{%<1u-?sS$kX(O0eqRyz9@;Ko{ga5?aQsF1`4jm8CZl|7 zV9AaSxPw`WTtkxD>4#T(RUrImQ zt6t%!aE1?Mw?3V9ok~_9!tna4tljsgisowiNKg;yb@&`B;cq4|yADBBl z+pD1s&g`|wI6F3!OiHI2Q_!0Y6pqP$CLS4C-T1nUY+{0FoBafKT0K1XUpro>EEp)G?>aDM8xydMlzq+KQPr z9a*j;_|IbL=(_~zukh2Zi$R~N!j5=`cSON1D$R?8k@>04nU{RI=abBg9*zF4G-nid zTm*iuR~C=HSDJ$;iFG@w5w$YkY7i|oCp`t9_qB*RvHpF+T|q+TxxXULzJKA?im01h zk*L#2ad>?Zb>XGDc*I>tZ%9YGCwvj*I6;s(eR4)>byS>wbTDT@j`c7>bR(5Z^N0yI zqZUW`@=cG3{)czKawxaZBOy%V=PA4UnUaK6(IPCy5p&sq6py-*fFchyt(o0UI>MU! zNFC>oNSLcL=QiBPnE-1w}MD96Nvw2v0f*!d^tkp~1w1^=5l z!L^7snlMSaQYZI}7ti=tw8c7sb<7CC;oeN!OCup;W;@UEe@3hC%`Ck%QZiqoV^Q4o=MB_$+9X7RFJ*6V`RV`%maMYmJT z17S95UhVYP+ZGl4bM$&L53~dNc@L4XHivG~?y(V@gsJGmU(GhL6?()mq2vf!WvGK< z9uR~On&NKoV(lu?EuHdX(sc%p$4Y&)c$c2!^?oE?I;GdYDr~x(?4=c;#WMW`2{sia z8uel`nELsFBcgEC&Rg&$@v+la? zYn}@5*S!Q)iUR#eYT{{%#B&YL;trhS#I%5&M)$qeDX%(o@E|Nva2a@-K9oa6LCID zS@f6MbAG?9tBj71J0U~uzVbXBP-qcnZ@Wo61kRxe!4vIl1XueP>7VfVetro+qMTUw zaoFWsu9tP*sS+;!5>-q28(Ty=WJoLuiRw3LK{C%O#iAj+bWaGCn|w{;2xiQa!9fPj zVy=Hx0rBzG5os1%P<{$&s_+z9^RG|R)Dyox@l>q&kam-cSBN87PjseSossi4i91{6 zQRi{j?vK!N3$d0V&avbv+h54hjRxdlo2Pfn+Lzh&a4*x3G;bYI57&Pr3?R2f3qYWw zxJ04?MTKvi^~ox62Jvi;k^w>}*{*_}m&mTx1_OEc#pDILEf2Do7JnRtfiwTG>oree zS>NThnSYw`+CC*>^(%9J@oCMbH8(Xdam(usy!v^MJ+QCr$vlC zDwl31Gp@)8#o~m6^Z;f7jlvLZPPQIG*|w_PjG)P<9|%&;qVu__BGgATW>xXbnfe(j zS8fljSg-h|VS%qvk4QxV>)s<$zoh*7gvRhiWtq~)PJ0&_J{@m1wNmKT!M?gMrS`h8 zzA8t`qhX*M#CyTNN2U6=D}6n&W7H`HGE- za?c}~PdQU$;3;3+Z<817C{n@JSwcO7(cJ)_M=H*TREdq_t4orcF82ltWVo1s6@UTCk_&ibUfTojP zQK*RF!ngebJXu<|6xk*`28vpG2UB@;f?>6MJAW{E*H@T#}3w42V=#t#Hdn7mlu_Yxq zLa;UOHG;O~>OF$Am-<$had^(2j%f789+GGm-p-3?_uWMk|L!{=W#p`cWrutfn+a!6Tbb#k3y3%%lvkqiK&~zSXL~y zJ_sp2+zw$bDIw{dl|c)$rF;E2VxR$L*C`>&%L|HrTlSZ>vD=#tg4hiEx0g zysl~YGxLl5Nmt&ujerh$*Xk_{ZCmbrcV=A`qP}_uq#>N#ZLT&Z@xQ!S8KbT9d%cFs zRkV7GCHAehlF-=Z1-ypOk(^ZB@^cuJ4L5qfhL@*f-am79z-o_%JiXwrx6-|vm3{^i zV4R;0PWv7=PsLV>tP?IR`4OYE=YH^{dw4FD?yle5HQ;Q?6u7=SY1|BJ#gj{?%jZcW z7`3)$XTl3PBM=mVbQk50-P>w<^#DcWDO@1#!wky#kyIZaIv_~Wel#cFn~YB;T0s?! zTh}&l5OA*)y56gdxvyLI+|_CYwK9#rfqS=?s^PUWh~Ab!`i-1F>%-f2uTRJ;Ls;em z?c{B(>7BdjI;2DB*ktVSE+;n$iiPfV7JM=Y%{jS~0VGG;ncNX;gn5(C!Ws3zyA^|` zNO@t|?)`&|bFBG{C^34OrG&X=NWIe7FTS4|Us2H!Aoi8E;k%%7c7x5tr8y(Ctt(+m zc)ZUguMJZ+)sMceACVM?Z%;DJgyy+Yg4vLlCvx6c3Z+3vh|ofxELG*HwXI>w&JtzxG+}y7L5>N?lK(x!csJe2I@y2OC^C%P<|{ zFJ@^_42e)0#aJpYKJoUAptutw#?}rpC4Y}WdHs*6X;p;5tpXw7H|BSLaBexgu-t z@219c?hL0#abaGsl8!v5VATUIul>V{cEQq2W*kLQOup^r4R5-BF3>3G_*$LlPQnoUSaO8;h}M`yuTavC*1 z3oRA{=_gX4_@3=UlyB_M-Or!0X{97mBE`)nC&`s%`$%74l9X78Juf;?h1fh=4%`rx z91ElhO(xv@p1xvETcWLsM`}^>g%O6@NsC7Nf7&EISP3lT9e_fiv*H zv;SR#>P*2DOdJ&@{yy2)F;0kelgOTcLg8yqj@qQ(Z>1rwM1`-C63Y_xBohOg+u!Mx zgK1Uu!MRi{lFnQ@UgOJT`E&^Qf{&%loCV`5Ri_elO@}{Ds0msEkyIms)5KwVzUW;j zpfb4@z$7(I`JS;2=|#v}ZJUwEh=*2q(8)im=J9kRhoJyE{UmDPV7eX9VMUCI{b$;6rl?K$8KS67#vAkuuft5^< z?tj3X!_QlISum4_laZYDBZy1ad#p+kE;2QtAqb7I{=qr9C>WPcw10K<7PUKT8v!N( zM_nzdwSj^OD5P= zX`yB3(3vCjb|Ek1(78}51b#gE1g9DtJ^eE?GMnBiK}=*nLN4HwYCKnL17nbAaFIg- zM30x`4F6AOY#~zdTx6jx0*L--M9hn)>*Jd>YyNW$Ch#wtUL41IaFea7RM#qB zm?}Rm%#C(_peUBYFuBmUK2n)3QFgSB18dN7)4J!R$n4iBHk`&DAX^4k)S%%N$&))!D*YM0hP%W3lD({jyKW7qX4N^UEbifgy0qzeVz^_j^F z#KABAgl(4wp)_oTScp*mh%tbi?@LH^r6A#DR@iESdCmsT6Kr#4IY4b=V4K#}!Hn@R4p*lQL2T)%&;t z?L_d&kI7F&P$iOn`2Hf#uPPGaIb+JOx(6qOn$7pt>Pfbf@?M203jy|cGD076WCZ-X zjqTy5rY0$M4xmjGK?R}_g`|`qvtbD)mKSPj#R9lE=A^{7I8j$l^UJgeRg}CriCmDNp$kPl+odM_8neo}K$1dI~QN z<_lHifRZRM?u(L$Eb}zWh}7d1i@YpoT1isYVwgouHszW{Q&!`OMMw6r-b+Rzb1bhv zrY|%&`AdPQ@4d8bsl7GVY;KIv!HrWP&FJ+MS8j6$2WIX7ghg(`#*`FMcR@q^A-+K% z-Q4!2?cGd?JFg_o2)Qo9F!tbN&xm(jH3qm%7(sS*jc?dHB&-zU1KGNiypc)Ei=tE4 z#{3I^>ma%bx$A6PVt! zx4Oq(;kUZHR!{-I|)D zsLS%{cA&?6t9z;d4Y^tpsUpTz66LJLiei+KFb0#8yh|y;pD77C!>Q0eqjW`tXQ4xOBYEtb)2yk$eH*Uo5Vp&CRya0ci1nd;i~jK{`bAl_1|_m#b@6Z& zg+fJAR(Wp{!Hi;#Ai5j6`IY;$Z`(dDm6g<-%Hma@pnS>Gv=j=D$>Nl;s44^4PYdI5 zhr7+T*W>U7+Eq|#l0Q3T+}^3 zbuYD%{*g(KipW=n69x9BwdXMpzpz`qzdx-cmhUPaIb6TfB&siGo?GKXV2<+qe!Rt;DLa>aPJ^lcE9T$4e=MmXd*%D9B)_n1c*JjI@`y=Zk z4fuk`C5GHV#re`7={K42d6O{{9kS%dB@&(QH4Q^5C)9!i&XV%qYTVxKvULtLvX)xQ*bt{lNotwTG=k~f*$XZb@9DU8y&h2JOa+73G>F+QKxcugIcZ4sgY%h^^n%=O3BmY?0O06X$qg~~) zKl&Q($j55wI6Ls+R<|Zyb*e(mKGa9%K&y539`V)x(B5Xb0?c&^QWY`A{M6Y&s1f!) z#7R#ap7wowVPOeQmS0UdL7wW3ImlbYBvl1bSM3HDM1RzxRrWMXv)?}5;a%j&X9ms1 ziKUv}kw}D^?^aLr^OXwZ`s(sf>j9~;l%k7!-~7fDx$@xV;N4I21OEE4JTcknklbkF z?2qHFizVzh*jyySKGx?Lrfrf2`Eq3AW+!s+!+BDIn{*WErR2@o4G&`Bw^hsk+m}QrlaiM+j*v;N<+Vl&;c<`JQ?{Oc?vESV)pCdFL2Y2m@gxscwiVOFZ*{kvT zBIsoyiljtop$fZ&s$&D=iS2oZf+HJhwT~uuFPt8nziGgG+n>wQ^Nr9#9(0Q}bC_a~ zy!Ll@l+WER7!c?{(;VdD-%5sf<`jOlZC1&fy|~iI(1BCRYClXjG|YQ?t%Xpg@XRsx z#%;NllnEsdBtagLn!Ignsk`LDqmafQY2M}NvU!JTyXnYkwQsx0%XxliQ{7N!xwgKz zK8JFj>OLA}f5>EKg)2IeAbC3I2c?y9d01aOWv^ba`&(f&M|YI5IN$b!S8SwYu;WJsFeT3Gbo=(gvA+#wD#^PH272ObSTD(tKu2 znMLQ43*EZg9UhO)SAuSLrLw>!EqCYbqQoLdtFnN{dULQ?-`$z>)^#(qqj|Tl-f=xC zX!QQ~4#5sk=HH6zElukd8}M3jsBQUWB7jelPA<#bH`kke*keZ-?y5T(ysJmsZf!lp zx=-sk$TAs5IJLgr-rc08GM~kLUF)+{9`7#93Q-opED8=&dBTtd%qNzTn zdXGAa&>aSAQJ&mz*3wxTJ(+?wd({$fW)(X=*}huOHJ|clv{JrTF{nCT?^3V&2*|#p z*1M=>V&op7I?lbDpO;t-@Z0`$gh2H);@}NfKDWtkev6EO&)AtI_BC;J#ZZ5|pPA;} z`KBd_Y%N;PuHwPwMyd(=y+?`Z2>0$~9FO+Eq>lDdf&FmNAh&BF!SH3&eyk1yXb-{& zd6Eid_Y0xiw48Njj=%q=^k-S~WdF8f*SE4lO)6BGVSY6@ZvLxcU-B!)vRH;~=oKp3 zePP6;65YCl69VTx>Vsk(^xZLptE`D zqXkmc*Pep8zu|5Z-nJ!&T|``|uP;H!)aLPQD+f3{@HBfruNi&*zBDLP-RN=F_%Lz5 z{&?cXtL?iRn#d3nSbd-ak`qnT@A4JHDbp;pUuW%5i|ls6RWe(*@3Gegt&8?tLTpz~ zat2+y&T04BZcVv=U?S8Q{A^BvSCDpAa{FzD1Sf)l*C+5j?S|eG3-N~W71z;8=dRDJ zfS~Q<`6sJlb~^pIv3-g6HRm)DorDdDG<0?<(4y}T)%}vO0Rv4^#@f+m5yo(#K{B)& z4Y0cn-|~wPy`=$++TYzJU|W#pzoJprxjR^Jp>>Q=fh4O^brnN_xRT)<7sI|~5~`RK zyg2J&BJWVrIi&62NqKC)b?M;~p%6%+5+R&-Sip>{Dx*(e{bGftAA$YF9_Se#HL?6< z-|CkGyTV3Nw!g3zI??1yW8B2@Ky+?x+c~ey=;Tk{;g}j5i$3_Y(egM!A@X$hbnuiI zH`L6>2QRP6>7SUKW_>D~;3C_aX^s?g_+I8?EpfS6Ux)IH+fA!AUIiF3^$Chvbc3M~ zwiL>)L`+LBxj@FU!$Q0Qsu{`=VH&&8N*cdFH-=9K?Sc7FBv}NZ#a&b16AD8L-di?M z%81)<7o-wJ^$aL-nh;CJ<=OqPkFU(T)JPu)T2z-=8ZqB+BBv)E5&6lS9wK1MgQ-8| z(n5o9Z`1gKCH7d}sq`aDh2Qm3pDXQ&RvEG#&N3F>nz-Tn9!?f0kdAoabCr)N+&E;G zsow4wYzGiR!**oF{Dz?)_!6XgHrO9u+;Ljld;dE4qJ|F1Zb?M~W?V7$ zv7#1wQUhK744;t4U`B$Ktb=5ZJL2#R0FesC{6Ic;`_4_f=}SruzNFLyGcJA|K-3(f zj$%s3V7`R|oj@{w0)Nlf{286jbcSJ1+GW^c7V7FUr}C3Q<5PJeIwE#@w-i~Y$~(XD zj?5kxZB5q5gcWpAN%02_4XNtH5<=P;jiH%{**zf55~Ff7XPYKue)oc_wZoLN&)^2T zilcP<-NCHS!}U)u-hAD;Xnh97U4rSPTLG-0rLt@hvyh|*$10*yMvcT8N5RB;U0F$2 z4CZS%O))h82PkVX#%-{+sqLac4PDc6k6tbvzKtlplW6V;FFiIEJIuo@55%HOJSFCrtS_=o(tw63Iv_PR|q->Em2!Ux{GNeR|-7R z^)_ztA`rceOiJ18qX5!?O!RpVNMmH|74cF_DUq$7yEMdO2nfN8jjRc*jKxA#rLKf zx(;#vFQ)c_HiEMEBG8WJKbpG!@HmEDH5mKlPn06`SIK>KvI3^k7=q{?)rPMRg!({`P*3~{FUbN(|OFXZSM*y-O|5v?W~P1hZ*)I+kl zJirhfdWmnm$z+kBUOzNp^wi);=VJ&N|6@?^Tq)PftY=TYPBr(wKumv`?N<)0pLHKv zDWqcEg;f0rF;?_o{m8n4Euz;_cj_VDQ(Xw>Ea#mqrhT~m9w^+06HGol!Ah5F6Ja!9 zizmz(?nBvYi@dFdEFTVm@;?pxdaZ3 zCxmiWU6^z9(?G=+f@b`XuOk&-cJTg_nf`7Ob#&qZ(T_68w`oQDbBVlug2Qn@wQu^nyr4yga>v} z`5=?P&oZ$+C@3-1f+X2Ag5ub}qtER1T1#~sJIQk(}wu%IZaVz*qISA&6l z-S3^xAe%aG2*lg6w)Gcu4&BH(^PZw6#tX-6XSbW|N5_dbbfi z;aGlYM45``NXo5wY!UNOtMj4tlB*uKOEvB1ppo9T%jdpJT$m)N$z}7-_=PjE6bQTV zGcR+$0IoZTyG$q0sqUN)!lx^wxu8R zSa!ayDk53jx#Syfz-)QsTqn|u>t8a0dqF71%SGX`l?fOSAaz`|fIxbQ?%lm&bX?5^ z*rb23^<^bHTfJXK&1;8Hf~u0SZNCrhYzZM;3J>lWNFrR8Iq?a95~Uy7B#xmV2+>!? zmF0u$5H8NT6hglgljG*-)8)kDHJz?wJ+yvwJ&)#=9A0O1@HZJxU{;Qej&L@UOC~kV z$HPH87)_^I`RJLXT&(ASE;oGuq|txV$>{?;TI1JT@nr6q^zFf^&1IE2JZ>ucYcB*EmGsj74S+OHo%z0sH6 zznMr)S8zC?Px&p%u_4`AE}Ck?q!vLQ`{U^AI2x>b0;#xK?*5D$PLM{yIM^}FXz&Z+Q<5TyNW#EIk= zMtdc_BUkJ{xOAMX=mgY`#X_O~TD6k_a^ckPyP>yYmaBh@O zF0jkTvqL+u%%qZB7vo+#q~KlqG(E@R65IUMrEw~&!0r&wj`ip{Sayg~PY zQ{d(B*;zE%hWzqJDAkG#SGo|Q%@^pkY3E-~a>fBnA&~v(m@ulVQX>4d2@uup_#ETC z#->PF3tW4(F@-u_YU1&&pKlu+u|C0l_9>>}bS)Q7R`txUEn_)2PWl*1ZIU&PcEI+P ziqAAJ9y?4mt2k5!$rP63wU?U!c%ud}g;fRd5;vF43VwluPp7WLEUsnw+E3Ao&Vg54 zJDlE+YdVf&(KUGwSpOmVF^Q;5Dd_Ovx#x4BOD zEtRdGRo!fXx-*A#iIftjG8$@>nHeh8o7pq{>A>bQDYa^%sY9SYHCd=9I#h#(*{0`5Yqt2&SQ5wqb!B*L6$k3HKT~OOsn6~4oR)pK?!WzPhr}~$0s^)-t z_0*FNvkEabi6OW*H6~!w=3rNPZ26qCXkDk+U088;N*Z4jcRdn>G-VnL9xH2goP@*! zU;=%aL0Jp?wVsO3y&dN7NhY;>5q$=M*yrToeZwNrhBmfzgC4_s?SXgmYC=vlcoUga z8=2vKBIHo;OWWN9kDa^-m^92g=GrC7)d5Y$1J{O+PfN<_palI%hdORZ;>=Uvw(ZVDRxveh3mj5u5CgM zq0hoT*8nOx<&$nwJ+`InEyVv1=CyFQ&+6cOUDzAv6$cn*RmtQ<%SrQU8~KRZUAo<{on zZk2Mb)<@o)xAZ?yKC{@5De3D%Fd(lI*GlG9->_(Et5q?6JYj18`bH*0{YwCj;G2## z=n@>_-|==ae|2eFXR388ELf8Y_Tr6&(nM|_Iamvf9USh#^9faTtk9X4^o}M~$t4G!ezYj88?AN@E zxU3?U^t-}0GP#H})aaVuxwgJ>@%zq6-oc%_67((XD=(IHW!3okZ1u1^<&m0QbG?22 zIqZJL#jhB23KcgHH`w(G%9`9syY>^RQf zp+*Aw6ALkXn=~u6N=IvMji;TeM{DcVi4Iu4(aQXK%%#u>Q1`R_c?7}Oivt)zUJ&L= zaZLz-Ny|D;=ptCN8qMIK6mjoaL6*~OJeoi|Rbdnz3I(49Qq6`Y&kF&u^zsdKsY@_V zXC>NNpS9F&DsP!RNM{A%U*P`Q^|{qgb6&s0c_upbWi8@=Mr?u`9o={w@}jt zc=^F}X)14!&Lx6%8*Ayobl!i07p+o$Ft-`4jxV+scXh#Myj6Po77}M(2gf|WV5-ji zt@WYR&Uk>rLG6maz3#sX@84ek8XedP=l}ie*{(1T4^qKE+X&Ji0?+h6NyI0|ukt`< z1SXO79BPwggifOvy-q$Ry>e7)skrQ7K0#BdB>ky!l-K{lDn+%&u*pMdg6M)(LTf?S zVj7jpGErFbn=HzgN@^>y@dUuT$%3_jEXY&XA~MlK^*3U}sG96-#dvk1f}6_dMSpuK zJ7Ot59Z9!_HZAXphSimF)42JNhw2965=oNsDo909MB1oqffxy@sH9Q{b@QB)_@G|M%e2-Yg2$gyVuG)ZHy!pc$pJn2*_1 zDo$^$46xSTWJ>Ft#_fd2#V_#2?S?3!8CB{8LS!9ngT45lkm;kfwl@SWVq3cbSGz*%;(8FpVp;;W^B(sOEwmvI(2F;N zW#vPPc&nEDt*fBaY*Lh~)S;R*a29pUm26Uk{|&G&5(MZ}R8=f3&sq03*{%v7M>3UG zOy=3+1?eClSO+kdicjQefCcFmec#!{L|)QBRFe*A+t+LAi|1~Br1!7DMy(t#tSWyz%9|G zV?m9j5;oq0K3@#?VSUd{bCFE>6{)EE?bDd87Fa^583$c})GN!!R3S2uuZXflsO6KP z%P8BR6+3b)epf;yeoW&tEBSMGF#R*o7KJlsRJwMAB zOmpF8`KnbQcIZZn%VE*8Vw%@&tKznZXJtmW;x>k7d)(!;bWd+7uDygy^Pbd@YFhnm zv?9_)UGQe+UCh@7hzpoWAFi%nFC71DS>>$&)7ohfD`j_3F((TsOG++3$NfAq2CaoM zqVMJLKTsw&^_!2T3$&g5PiHn{Zae^PE4?wB~mEu>_LC&yj@>Yty$+J|Dv(CQgLCtrWs`U0;`nqQ*tS z)`Q$Hf3P??NKv`8>W7V7+(?TPl)PLpXVv^PwOts#mP&5-+tv?T50f->m^r&}Cp9i#RLrFY zI^P>R0&i~D<-@+6{Wi~_#6hIRAax#9%gbxjkz?UUW2pp(u!*u1gLJ_>EN9PQhoe~uB@V7%OywCD26vdB(W?2Xfxt59cv7%lW zeQZQ3EC&r36FOHOKBWDk!Yo@k6hR+B{NLC(OJQX{smx5{tK7t+P`&sFq1Orxg$91# z+C7RU!zr15TNcR<@q(v^WNS|0OqFblSvVdjvb{EsHvA1|JOl(4G-ZF+TMeMmHZ|q%L=E00``yf83kQ>?meOF zQrf?acTK{4Lx0AtJMwOfN=Z z+K?GXCgK_)g+=107?DK9g6iK)OHq}3P-x+t10P#>kVR#(CnrZiiPn{- z76(!NgwofVl58Oc2+cK+0ih=*#J+~gGGt#{O4=1|F7oJM1`OAVZf{!(*fa~uRsP-3 z%cfIStaDE|vlmDi7BepWpCpr@BkYtC6LVT;e?wq}yl%KV@&$2w@G)GzO}IT#Bx3Ba zC4^~^O>H0h>W<}CkUkQPk|h}M@SSWBluk6Fz|UaKaCxE9LJ)@#jkw4Q2w;P3K_L37 zHy)_7?BtA;pa5(8&N@)MvqtZ14S9eqAHtxqCGkSYM{aC9&MF?wlaUx1L3_1lr-J!{ zk0}J3rFX{?MUpM$zPjo?Rpr|nOAZyME$a8{<T6 zF?Jk8G-;*@A1YIANaIFUPbQDkR@4+Iz^fk47$kmztd<8`PQH0QgJj&=6E!g*- znBH4Q&)07Oe@X%xpt@~#^uZl)xvYq0Oi3JPdkw%ltTJ+ap?g@Q6x_(jN_bG~rvy0_ zduk1}N}rZL9l!3l(!Xu|d2{X5S6q-6wR)I<8&j8-st(IDu|*x{=PK3auGl=7Mr7P8K(fGCHRP;oONCfJZ5A#C<{7>i3Ebti3Gz zifZd%YU%ytwEH9~GmDg#s$pZoaD2suKLc*DV(%yC$*CQ>pkkHt#mcJMw>202F553W z|J$%gRR|CKJ*JM1rTMoez`4NMA;OT_5@au~e-p?V$TGMsY%NCbGRTC3VuvAB$LB45 zOZ%~j&rxtIYOSxSWiJQy9XSLgge4;`pPyRst?nldZiIY~GP`oCFN{Cu&hLYE{UjLh zz)zT&;XMeo(x!@q{x*7)J^y1rW#}#RXrX(Yv|#T$T1HSf>Xfip#350>ScCm#+RLM1 zXB;&n(FS9g>X#lw)3A)g8&c&?ufafhP+(&9b@MJhQ4%&7_7cqQ4JNqPDnx(cn&1U> zyn6V9i#J>hhRM%@w~d^9=Ln@=)yNl_kDvIyXI`5*3@3HrC_el@0cY_vkgrOkNql&c zjHeOq$y1bxVUnW&Ya4qKC4jnO6IOW_xBV4! zg&gzTuKA1HEqFEwlSr8GS*V2j^33$3=aUcL@`M0RiR6@lbASwK_3*NW1nikOpwew$ zKPXQ9gIYk6nY_hCtUOQ{tY>=I)$BKQ#jZT?7_6Up*!3*>=iHy)S6b9q@V`ia7Y{Yo zs1mmib%GQkyAbY&d0a>nORNunxUNqViEfq@RI9A?^^5(n9nL(qc`Ox7Ur=x5} zWvOk1>kgh(e2#gJ0*s8sjR>~knV_q2CPD3oIY1@?J`FnyWz^x} znbSeH;!FfM4m<2wZX9;3fA~bcAJ{9A3_cEovD}0IOyDOg6K2fyV_xsC^){Tp}l z$m#sHryBZ5x-sm+{Q!TY-vSyu*_Y4AnGa5bdZfUA?3(JGPsfV`qxi27YEAf7BxY`M z)+i5O9E{QwNJXgL(?II?w4cTle*I4i=6KbpbgN!cbO1W$lnertiWb`dGq%*wob8xV zn>o$|>Zn$#+OQBW6%9MKCA4@e6!=A+rM4-$>PLjepgor$jLd56msR~O`?wEl>^L3H zy@Y;q9k$yHEx8AfkJR3>9d9bnNzH-;mso4ZmBdO_{i~Ff$LKiVE121Irb6uEB~#c+ z^1Bc$P-?1NDbWG$=n6Ez)v=wh0j0?sAp$p%WE=#D8U28d$k6ih?-DfMpo0jKOi;UM zcBvd5ia~>v5*OGp)$ZWRamU9IW3E>&pYuy>eUZkne>#l8I`IAJB-SlJorn$HWB90w z(}Stz@s=`k@F7l%!OvsR`DuVOb)w8`A_6CT5Az_oX=i-%5dCLK_>MDA^dCWmu%Aa0 z#;qHIj5ExGqt3L4hBJnZ+*PZt3NPKattK+5L(X61>sn>A9t3O{Qwr8$$C#GX4yGp0O z+WZk_tnwNKcExNyQ2a$ou|1s7n^j8Hn>7!_S0GYQ_huvq}6U#(~Cw6OO% z*aU7bL-drv`l_P67E^qamlw&*2r*Cbt+6mkh=O9L^1dTNy% z5WD!ji!p`4niQ6oo|QGvB=xHZ-I{zC0ib&(X;($q1c2q>*=0U?-6Z5Lrx{8cRO^2P zYgFt1EzmSmg0uXTY5dXLf3TU9I+3f=q&M{;iY;zWEJM;szb*9M-5XEgfM-&k?lY@? zW#7iuR`H2i>+R8gIRwXaon_8U9IJ36QPU-4nH!^2mAA0`6TKPxXwbkeP9Hh+UhboTd( zBaeQK=e6`+RnQS#!r;(D+KD`MBTbIqtR9OAt>X13jH4LYfMDCLPrVVpZ@j

iP`HkDHr9o?*q7w(mHfu^;P+ls`1FcQaIfdj1XmvW*SUi58CW@gq?uK5;oEVUm{1YPJjnqntMh(91DO6kfC?(m_iI(-u(*skXF^@Excc7FIq z^p&Q+7_IB=%xQLLM8eNN(Qi``IucY&6lsC?hwFmmr49ATO7} zye&NDQcg*P(cg0`bE~9l}|H7L5A{BOnVSN@zM$oXBFCB%W0VWt6)HhDv%| z6ZgYu%5acZ(RH4I6<_K9gm*Hgp4F$6h9~@`nqI)MTfni8c?SRgu(#%Jx&{lzp}rvH zieNw%p$3?4S$jK5A1vtyx!bwQu!A{(4-De|&OKD=jv^muO}WvhL!;XsN;F;{zbhW% zB0$F5ex^dNF!&(3Ky~lcD%?X53u5)<^xjd_i$5#4mFGZxz?7%tvjaZE6Fus6XST!$ z%m!h}+tE537i_W)g-@!_8ye`^SB}3SF+f&`SCz#Y*3v>Iln(UbVa(%QFF?@(VWb*2Vu*R7OE*vWKHxp_(PAU1K}zUUxE#)ztCSN zA+*xyIE^yPPLJmUh@*r_06iH~ZN-c(F0_(dDdv%YM-qCACj!L&kXyVxAcBRuV34Sg z4z|IeNF(g&h18Ob-ei%{PpiYowxb@=1e4oE0am(tEWory*>lWR%E*Iw8l@2QoWIa( z=!$w&?z`v!Sio{$t*7$3by!PlmJv=X*n^(`S9qmqlU#F*FppcvJesekzL_l&7+8Nx zIP*9+@ZhFH_g&|&Kc4AW_dLY!GYdl`ZNji)3O;$76+@gJShMTK2*P1yJ*ci-3o`*X zF%m+Zno&wUt*&Z>MW5ayz-YCo8 z$(6OK%MGcRy0XDdO=7E5;M%g3RpQIOpyn7#^cDWr+K_4!GMzwF#W`V-p&cSxsD7Wv_Q3b_N@Edt`$B$XcN zt`ZK_JniU@NvB|!mIDRmvy}dVxINOT`hI>?upk{61Z!fZ(vaRfOt2sc7|>T2|647P^m?8)N0=TM4gHbgrM5OYNDX_ z38qqjU(>-}T<*_n#)N8WVk)g7S2NI+6}qkD&-+o9(1==XK1*!H3?pl8#avo6l$VMb zq@#oI@8H{wjt=P37uvjb2S~NYD*w}N45iH$o)QN)gY8qt?a10oT@;RSp)VCR_w*zQ zO=NoOAl|5U^nG1KN}BP)cJv6JY^Z%p(yNL<8k*3Jnp43hmsR|ALB>D0Q!=%bg1AJ% zy%XiAAC#kL;W}c#MkG~xK>|8cJ77kL!1Q%DkTcL`h*dbFQs0mMu%0D6@Sc=Pqr3qk zTd?Ri3VHFL!9qIGsD5M%84JUTVTyTT!;{#lN(=`|ttV&J5&7`c8_FzcA%ABix1$4o z{p2I?|4*4@B(PLGdA<&?-oI{q0W9)AjxK?+$pk;=0*3jgwwHIK`OGFIU~2ch6bL94 zzj1j4tm*T)9ng<*M5mHBIk3TxVsBDjdl31)Wc*HG2^R4=T)=VjXz%B|i!r4YvxFA& zP5i(RO&T?5B{#+G7Rga#+F@5rsTDo%OFtg=!7LUq1bHo*D~(tpoMOia;}p4UY-51sB?e?hRTW5sKBiIg4%lR~fq$DO;08_*a`oV+YcN)=T*DlE zPyER~-(VbEp?Utx!R>E6f|5<>FSWn#xN;N-AAhR;1WYtsIeu9u9}%IfWvF}{xq76m zf^%L&DV>b{2SY%|S<{bu*>LydTFcJ9$;P10}f`Pr#)hR=IkE63cNZf2Mzbv>(&uVVuHN?jM;j;^tJc3eaj zFzuYuE$T>}M}9N3c=O@&Az1W%YPF!Rh>chhX661yv)+uNrMy%Xcx8&U6(H z3m*4R0u0qc{BaAk=76y$qNODG8Zoc+U>^JwiX7;nRtX5!!T6tnb14%u^Y|iRuOL?_ zE5vzhF$ktR#H_{(g#P{wR=P?4f&WH&HU)hzk4=AAuEXJjR8g=uSaQCOSBh!ws zL42?T)-l1oJKW=pjUkbqT<*ID*BPEMf0y33%G-91Kjf%Wz^8hPCY#7P7Y}v+zxv1P z_e5UKAC}Ui9)!~;#-M+~R`m*~Z#Uf5^mBOnsg|)~vTTx7O8b7dG#zCdwz4e#CTn5iUs}L6GJig=(W>P8hvs}3?D|w#CB422{Tb@uMY72i;jKPCT~HgB0vIM zoPU3iCgavgaSlWN{)YVJ@fW@j?2ql6L&d215r|`5h?Ntp<-`K}8wE{`)mL8av6~}Y z=N>e@XO?`${*HNQ(3+CmTJnSn{WQM|o3>Y40g~{(f4$mMu>bM-}F$Yz|S7>}btl*zdXtR_W#L$8?OXh0G$g1wDe% z{THl!6{b}5_H0isq4jJ}E&1r#p3TDSqhlM7cof6qu;f`X?d>M8Oy53popmGdbZ+t6 z{%Z9hZ+(nunlRVT%`lG9D=)PENlq!JpWM(c8kep2M78T5T zQ=95B6?R-Y3wFFL2X-9x8|=7M0c;}4aSwx`id--o)EGZAalL2ok3mpDTbtbFuO%oX zxf0<%xWqc?uWTWfMS9VD3=(ZvrXW}>sKkx%x{0BUUHX;k29>^y8a-GQ+fhqDhfW_& z?!D_!{jehhqkY><(K$)Pn!XZ${}gJLiIZseNviq3gTsTgW)=~%OxOesiSR}ik-`)d zlB#HAEAwC}T2e6`9AcF{JyB|>(8l7sTiApdN-=?44I#^I@3oOxVMjp_L1);j0V z#^IP0)w- zF=6Hz{GNt}^NH2r1J&qZRB|$j{=wzjn=z3~4JQ-ftb@qGI-A=C5G_FjCKJK39NO9j z5ZS>;EqgCzFo1N~yC5l&h%ZXZ@sOe(@E^y(}6oTeZPqRTw(; zG`*LLPlOScA%UQdKrSQ`c?UWq(PbLUpDI^QzcMe-6uh4uode5K#o|RV+Bp6$EYGXh zbKd|t@xVz`h7o0fGnV3Dh1C?T|0>;xn@F$NzdWlE{k%wo6XJ-5NKz1AN)$3mMOH3J z%mNqjtTGC`Oa)p)b@o2cUPH@vwyNXlVUW-wOX`M zN~cg_eIqWr`N0+}bgve4(NCmv;8iXhuF-~c4?9P*@=btP=YVJNXikWO0}&TDF@~Iy z?&F00ccS__r#$6wTU)$O=p-LMj^Kxk4i76!vJIqTiOzRoCms&PvoVGidNPwuMG;#W zAXr6wyfuNjQ@B``BgdY%pHd4X{s(Kl!ZF=!j9lezw;ycTWb=_ytU`aCi&*DkcDQM6 zN~k|xqXS0@d)}H899C3ikD6&EUQGmUiJdG(D4|9TY@{D5L54_-h8XGV?hBgicwa}glQGY58Sh?HhHaACpr>2-f#A`KH;FMx7>~=fBmOY;kfIhSm9l%=nmci^8 z+PFiYvx{KW^Dql%Aw{bfM`nuwWi@15Wo1%;xD0}zzYban4Xie#^{)bL22EeoUkE+4 zkvfefF5?X?yu?n7G?Ai-Brc;0ZLY7@gC#c{PWHi3y)l;?OJjZqbU3Q@W^%am;ZBlE z@d=p*2WF$AF&&?tMj@5dP1VKYy~u=56ClbYQOP=RL}k1os4q|o8ztitk%VQw>8URm zSBl`U;t$g#GcOo3yQa#*E%;Gt?fn?4!ydDuCpdVKQsXOHxL_p+7cL z1J{twY^5kCULxr*nu)hY5uSLZ8JHk68{Ii9=Z7eJKsKXs_`+a19*nI@F__Lm3|KF5 zY-AOcqzDjE123ziqnXXkqN%SJp0g8?8BesO1R4(_M=2l?QovhO%SolmLWq{YYMI7= zPjGn_Cr2iwnv90j2#TiW>`Qk>TbzsD{8)u*#*;FK zAUsZqZ1x;~JqaQG)O=o%JjYZV9m>2=QweW@kiyD8*zP!-Y>&;#Pu+S(LK2m#%Eo~c z3$(DL@0Lq1hXh9R)@)C(YaXPG}WB8S2T z;m`*M^czXQ8<#Sr+e)b9g_^x2J+qN{qiq_*A+~d(%@Yz#GGauqb4)_Fmo?vaY0XA>TWRoN^ou#m#hWJCGFNKPE62AvPDz5@7(ptdgz zQES-uN)1)BXzU7+#ZlHR0bfpfQXv-$OpYkNKUFz7S%HUA{RXsgDy^t+M#y+v<`WTZ zeFpPTMms@-vg@47qSNsUHMf|TLb)U*)|6Fj)we)qj7*l|PK2m=1dR`sP#87EOKd^Z zu!Hw(su=9{&W{=y3bB&gNcZ*!BfnG*uy9lbQfkE0qb*D^yE}C=PEs|C`waEV$g)25 zlqb()ZNnSOgc+scp@|;K;C!D5RuM@y9?neDAUK+CPdiIcr6d&iA-=#De-|5?7Rq@egk9@ z%GoitiXwypT5upl)4|$@TE+)D%=rxV@lRt%>0~J?NMz(4YSaf!DtuKk-wDe3hzu?E zD6hn_f07omhhIi1aauQ;UsL}a*Xq_z<`t3~#d(hFlWUl2BF@pAhO@;r$MPqr7;bEY zT;f|6>%ro;40g8W6Whl!J#C9F^A#-!O?Grds!t0RXE$%^L!V4p({?#8MYfCOS&|Kp zRF=Y~X(b+=EZYegA3-y#$dlw~_wdE%MfKDln@&FW?#-SV^H+(!5D79{Kj<}ux# z%{R09unsVuzEU0X^nBf2xl5JGJ^GpT#O4<{S@I1_qi_F+r2(FcNF3^$qR#21(S(QjS5wg>A%? zZN$B8#FA~qfo+6uvlyptem4EwWa_9x*{#go?fBU(?ePZ5?eoRa*}UCRl{%H%-3{6=I$hU2Pr7%WUY;Z#Z}ZQNFlOVdqZb&oet5K>`znVV!8ZY0 zTo_QZ(U5fi7-hVZ`Fa?_ashANjx~PAn5^Bc^yyyY>pi?V2V?U0?mPrIrbGCye2p94 z+Yke0_8b1&kklG^rwT=#EJA~kAR&wqTrX$DJQPXh#9j(G+k(Xo3u zKwfExNiIO7vP@$CqP=&bmSqz6B*F-LOWxF(Zv?julw#tFt}udI70%||~&&cJSakuRGP&t4rJ?bo00s~47v zmY0e+_8r^X7ymu1wJ-jA818ec%myYpudVp9YZ~!u8nJ5nH&``+5tC}t_u-UU!%my1 z%Q{k2>I61eEum&5RHW`y8Z<#zKvj@)b!gEu)2e2mOed$OP){jZ$hc}ey-ZwOji{M0 zYvz5Wot?%wKBhk@@2zB+pfS* z(%5KhxY>hh);RRRBn2(^`g~luisi^$OsYR>@OhWTKSL7z0j$jr??b z54L396;D&iU>HKOBwnjj*q)3TsrJa>nDB(UwDkKb{X6QCKD1tbyGwwq+Gjz>h*Kmu z2Ib9=KJs#2?p853d^V}db|l=$N!pt3alFXMk1AhMxY5fPoI^>Lispfz+rf=g)BGx$ z;CZsF=`Nb^bo!Tg&Mkee3nsa>uKZ~ND}E?n4t)AR|EekU1xuESg>mzFIzh?I0Q3U> zLq?7Lmm?{vo4{iOrQS~5vrK!D1ka>$2M{=M4}oLAukawHC(>yGAN2j`DeFHushl9M zAI%~vgS$z5vX7$~!Bpdp(iz~-KTMv9GRRvn>{WM{G3?cMQ!%P*9Q8wNX!TTgtt0c( zrzmYciPvQ)F3WvAOc2m!MamF-Hmk~JbGRGRq0D>AS6n7jb35)-U|Rq_mgZC2n+I`J6)##EoL-+iU;g~^<&m>0f6;TgNzG$=35fSV#8CH` zW(T4=5Z!>7qW%l#Y3lVbU|IGQ*wm;0wEIU?2*UW&%5kwmi`%o`0d9o7616-L{kL-- z#6tCXAysmI)uw}%bjDU+1BPwPZIeKk*3BNf=C`}Av)8X@`>)r(&iV*x-6Ia}>{P9) z4DT|D(@uTL@5_cuFc*$4L$Z2~AIk|A+T_kyVkSJ;jkvj@HNjH@2LKiaeWU*bQAEbqj8eIMac~KnhfsC5vt{6g4BKX$MMFY#&7J$y} zCONXZq|cxZEJ7QN5sXCvo&`I5{)TnyGXi0XBXtSxuDk%lff{Ki*XTDbT;{?_erMs_ zPlAFY_D{b2_yIHdU^z279s*IYKN`}7{uhGDp4${IFcBWx=}Fz5-@AM9cISbR_Ok2y zLR{S}e!Syf|XyRGGydaaYh_{>cXOyxs;z#vN36)mZ6g`a@9UB|@a+53N6AM@Dc1 zo-Sxm$Z&9|dZf(*Z!*m&sCpbM18?A!C@eJuTzF1zCX|aa4>e2WlzfRNAp(XETb6zd zAEphO(e=Dhm{u|E)#Z;Y#NF0~m9qT`-s5$gp2+j4b$H5_5jfDpNMa%gA;l= z+)=e>?`(|$8n3~|aI!9QOqSciC&ndK7w_pL*SS0U9JPXu2QySUqQ8YAle7rRr z-^=F^Y>>f%6fKg^e^%!POR*KhVH)z!E=sO47x8mfQqE^gujfShkpz|IFazdAC6$m| zLG|oWT7`FXKIHag(lKwCwhjQU2Ne!=({FE~wnSXPl?{}csA>eTU11w)cDvjN7FvN` zn>}$C4_iZXi>(K4;)vMKYs5cX5kMJqm1-DwZM`$*kF6JUwPzjI$4&&b@)x*kPkOL~ zkHLq%XA@DlfS|DoAq9=V{$2(lNcz=a;Xwv(D0WNDr^kGN;GFG^p_)?Rc%bW5z+TPw z*WW|YcuFI`!j%W*G-gC|J>sVG{p zp4DLASOL&~=dZqeGWQ%NgxKqk8Q8JetB)Drx_^+&Y|YtcYISyEhi0kHcr~W4uHlKM zU8Fg%E>OBpfOg;1}AzQtHvSLiYT z+U4xfN#cO1wPO%3cVMR*4-yn-#zKtw{c+ALiQ^buw`TXvSg;&eQY+LjAC&Ve{NSh2 zG-c_S2tkd_y1Fa%5fweK#-?#dWm_CCSr%xzE~}EA^D2=v|B|wJ>*RswXN)tfmmq0O zf9oau*U^Se+x$V{_aNR4T!7i`Nx5A)NaMwg3dmV(`)bMq0B>?VXufKJ@U_$IkgFOt5Q~@Vq5WGa@C84A4;YFc9z`dqf8-fad1iiQ0*+!i7o@ll|IzDso-zGr_;TWUl2}w2g*9F8= zuYJ-=MNdB0>Umq{revjUXg>nC{BBUQA`Ft%u4!(5&{b~O#ogF|`r)OF0V1XQtwQrB zU*OgEn`dQ|FY})!!K`St^M%4HMd{-wM39own&_Tj8%yW4om zBK7*TW_!Tq@n+qy%kz42^7md%E%Nlm!I?uY4u+sE;-F&euLSEZ@9QDfFP+}^zc-I+ zwuz4L3O+JdSfKD(O_t@F?~QB>lOjNI9m<7e)nQ{vhwdw<7xlL#GY0P@?fzu!Kw}?v~Yz>#`x%acou?by< zb4Iu)#*>j&45rhow_FyaH=+vovWLaYC9&k-)9I`aa+k~y zA3C3llv|&MI1K?mV)qpk1b=RBhXn=d_Fl^{UIrjXB5Mr0?c7i{bH)m0U%qSnGh}KgJT-%h$yfP$c#&y3!|`@R`#23f1{$bkPr(ds|XN=q3FBLP}yVIjOL+N@KZ|gON zJs$TBpKH3`QXc~aby~6q3_IsVa#Lwcvgg6!Y;z?zB}}*yUAaj|vYxO{A2jXrxf!|I z42uJkK3dUmwG9*p-YfUrlwd7){RkdQN zz11EOR>J%=Ad#@26b;woLEQGazlq9yyIG2AAKMla+g_*XYypcqW~3o!@a_C_RwL;5 z*s$VIUjJ3L9n_t!Eg6}g9?td@oy{T*N!!ugF9FhGMMC`w=6brixO`_$AxGa&y^nM- zNSPL2pebQ;!7#BbDF0A#L&N zLoYG%+(yea%8^d3gHN88ER&SZg?-uKiuirw4G~rOdvLS8e&dwy9D*K?d;^7>rigr% zyf4`oF6h)`vQE}Q@D9yFVU;`?RkG+^3Oc#Y&LnW6fBHWFnLuX0QPIJJ(2EX!hhB8> zR`jBSkE0hIJR`m6;7{pA2SaQxdc0&@HGfp}7#g?{_o(PGG(ZC%6+MOq=-{KG`N&=F zb=H6V`m0~OX)?;2w|Y7@q2zlQoxgqm?&YtR5`pd`$YV1-Q}!6F*T<8-KHkFX<1M^C z9&3BNh1=sT+#YY?_IL}^TP260$6JUS_m7GmLjz3qQPE>)tOvivyO;ZkWU?RpAT96U zFKKxPze>wnPx6+Nyc7J~r<1%BDd~E$j_b)ft|#lbo~+|~vX1M?I&f9ukaw~UT!|R- z?k97(pUmZcGMD?wT<#}xxu4ACem0jAnBGocdOLyX?PMLdlXcuq)^R&o$L(Ytx07|; zPS$ZdS;t<#H*U9{4p~*KvFl)mtf8U8-AdNc3U2P(EXGTS#~WqGT&P56xuf+MOI&bWOWHSvZ@^Im+-1X>X$Fxzxd^guRs5$ z2iuG#%fph#y;WT=mt00`&HHzszxnp_7q~e0{#V}|tTm|^671o&^-zAeZ9SB)A1Plx zQa*pAeELXvWuCQsyo~+L*Y95Z^4+VSfBxbxPaL(a%rEM_Dc2Ee>2zLbHxKJwML%)N zY3_WQdrDnEiPA1xZoQsone}>}W%din#9Z_Lj9Keh)9YF0c9wbCd^yXU&oZknWtqc~ ztCzhD{&5tp4@)Y!wCL4C)<77KK5@JJaL5|S=aCxwMS@WqeMb}@dNix|m^BPy_VY1o zSc6&O$E-v5hcz5g#KZj0*KlHE7^Y%WeasrBa%Ail&wj`ny0>tYF>B~v;Vp-(p?mXZ z*Q~?rkHHoehknR9bbpxrF-pS%pAT78$H491FJHWV^ZNb#ZF)ng7ELHB9UWas_7u<| zYnZxuG%bq<_1!m!1}t^F6VnivT>x zKe3!K|1dGY_Ppxt>H75U_1iD-^gM%HkofTR+lJY1-+lPzkkfeZ^n{FWK7aWZA8jhh zcFHbHzC|GBR8p6xI}@+J_{En~`W1$4I$Y7JcmXi$b znrE zdTpB8Nv|#UtnPf8yPWp0oXu-Kn-_Z>Gui1e^Q7k1hkwlvXyAS75AVKx|I|1ha~l!; z@b1NBNKZq09@3P~>rjN%G4li{(Fm_zP*T6aCIo1j>7&+1-J z>t0Xm-df$A$K=E7{%e9LqM!56a7G$eW1q^agzqGmgq67?={wJ#eB`$=hqp8v=qKlU z`SAYBFWS^!eE!u?GNlrqcVQ)myuQA|m=1X{ulF%8u*ea`xD8|Geuj+uSxxN0N#;pS zl9*#AmxIiA*sGVXfBE4BHs+8Q2$1p;%}BXKSP<%*`_((1k7G8Ef~J+TsVa||lza)v zmoFMLow*NY2Jt<=K%CF|Oc_0D56b3e%IIx-PSZ(s<7ehDg9Q=H!*0NA-$U8Qjj!h>u9Y(-JA$6t!Uc4H! z-o5&z1Ie?#eOGfDF2trqPHb9?7F!)T0shE|EsC7gsc_@~hhH;Vw`61Lbu%$fRq~vZ z#k{14zQC%Tg+{@@tRqYUjtFWXN2|&$88boAbKc8ey=l4MzWOQ-cqwSZv8>KE%DzFN zfx!!{#2H%69;c*f8k2e56e*x_2CFx$wGgLQX^w&Bi^Fygo7-~c+Er(KJ}F}EkViCi z(ad{#`PHw`d(9l0>L84?>otf}!2m;(fYN<~gksmKW` z6*)`5?XAHrC#RCcHs)jqqe}eC#Q^QQ;>SpK0O%cq@h0Mi$avK6N) zdUm#8-F@{k&aw~i=0zZXVoBK5N-zSm^RS*>C&=7kZ<#l4H>i#AP#@e`^=o`(=ur`) zQqGHLF+MMv9v6jF5Jj)w{1R&C2tW|8p_2+ekouoNS1lc08srhPs&KiQUw7nk+z|;6 zI%frX1DT&d=F1YhK+!m1yxH>lIDA zHES9v{ui&`fBV(zZz`7)H_mOvvMWz>Y(Ma{149(o99ZPQ%cm1w4q}&iBV>sKZ&c=? zDdUuLt;g+=elW)G>G0xniY9X9o(T%n#JiF+fwn zmC0qwBi*X=SME1egm6f@2vKB@R7gmxA@$cU-!|L{P=EF6^DjTZG;%rQ1R6w6fI4!H z{m`jU2Rk;Sqo-Dit>{@7H*Zpdsi~!;=8_^CW$J6(2pp1(RzUKbzxe#ySMNT&f7@EQ zJ=JjaP~`o8@vCoW8B3`trDzFDsVUp~7TDj;26&AD&L)Kd^jP``HS#b?Vot9s<7!El zzGfN`N;=j8c%xLa4PuuHCq!>NnnrI*&r%&{;y5K;%ZGW(7Fp7@oMx*$+*n+jbCb$& zWwzt!+{IiRwfWt`zT;nh{)RJ2sa(c>0yk*eOsQN(i(4L|7RGe=fy7#uYmZ%GVM}v`(P0a%+wh zouQ+<;gRR;Ece`VcJB8&_iz!154op)kki2)_)kyWm#5y()0&*?n0e~(IrZ&u`Uj6k zcEn95PPI>Qo;`M%`FPJe!Lq~a;3qkCvyi_$Hk_LT>(celZ_gX^AC^7m1bEJQ@SM}( z=$kq9@jPeBhplO7!FzbBVMB&fV5rHsstmaBM2s~*(PwmK8UMHe{b($g6+D~#;eqmn zpW5%|zIoQ5DpIxVfqHI)t8~EDXZrG)VtsD7I~d|VVgL-gLsDhWO=9Q9vvW(^L-kmo z$y)f3bJWy%$+Y0uzlZU5802U&7%a7ygEjX;v&e}JdaOnNLZ1ImGARuv^udH*_wL{3 zz4=e^%sezlJvMb?edjig2L_Qd)5(d2m>M4yJ!7DJ#;o~_ zF?1a#=SL`OGG@T7Ncq*v5q-maW%-b;irMHqKa6HWez=&1{MdcwXS2{*cHm;oUe2@U z^Xvyh7BQ1Tj-bX`ZfP2`0;_t~bj&(8_K4^wiJMj-?aQI!(V}zSDJ@93B1J%f9y39+ z6WzUQ_6CF#i$XGRpmtBWp+fy~*-j(QmNQra+ywHBDl@7sqpC8hnS&a4Q2RzTaa1b@ zRclmh4{GqJHjirdsI-h~{HXSGeh*9vX+IBkl4?;I6schm(r;3Fw7reCw$WHNbbnNp zYkE|z&Fm#UCsjF>9@NW{JSdPQIke@xB||L2%WvP|A<1>r5>qN@LFkM*IUV&MuJ)KY zohWMO>f33Y=6{Jm*JI{M%~MTru+Dt=?-CJvuI>N%QLg8ffu9jLp!m%{d-yQ z6m)wUH&`q*7GOB!vA@TL7Odryq7RLv`;=Z-{7b2K3~+Qa)xnxI(x$L`4Mw2zxH+2y ztUcl%XYX46seCrb4ZSvho*dkGuKLn z)f2rZ6drmy#84gkMx8n(uJON?HFnJTMAK;n5Y{!a*g)?J z0>*Veurt7}Os{!aj__ z-j7ED=7AXOJk*RSl~r_s@L*2PMZ?;2QQtxhkysOlPMUXsrY{!GL(oq`E^!COk_ zgIi$g0;e_l&%8oWdq13t>3AxTHJpl{7|L6?;d61dpXyXp2YJ!KLY{MMKJOE$7(5=8 z=|3piyb_z(P__Nf2wa_xS%CoADRRg<&MBf(J|%iJrQ+}%4EHd|oVWrcI(0+nN&Ktz z?-8mgmCI^mE6j(FTnH}}@R3>qeN?7Ozl?sZ>2vrT17}ZzVb9=q zaHWhUZO$QEz=ve1Et_9S$7(-4Ui4t(|7l^9J@pf!7G=8c3uAFk)^(-gh(pcE7|9(! zz3|voM!b{I*p$l1k_KU_%;3!L@#h7@*50Pm+MgI4n|d1kFJr9p=#Lo!<<8>u(s>yp zBL@%5|J``LlCI?+iI_b^jE?Ibqb5h!-#Gs0`Wqu&M_1q&F*~{j$B5g}RX9fMj_?^H zen(g07*#r^|4mV*$xT;N6?P+y{&OQx$Gsh3b8!2P(Z!=1n6R)HbfjCsg8j7Mfn`w( zmtNIc}7oRZV$x=wwVr{2y}zi4{&=l=~q-=MZW^p%ye|1f`E znq%@g8q@K^^*?^T7ykwQbEkgCymZEu4^=+uh?L{+%o}&&v^#N$ow)(?Rz2r6Jae?k zA2)jTp7Z>r!x)~!PjLIS$rrrO{>pzJm)@zj@V}IwuOh-|95m09ctSOxj_v~G{&(@@ z{oBpId5kmX-p73-|Kk8W11ixgZrfdR+n;0 z&Y$OF^j-gn{=vD_Onlm(yZZmsXZLUS#69qdji>pUBeGTul`8V*UKbj=G%`^3u`{w^ zvYiX2tk~M(XVZMLQ*Foz68Vgy@5F@{bh`NdhIYrClV;VIcPXiFXP8)Bof9Q~Ia+{z{Uyb20|T zk*I|O9vobO(e$S~22-#9t$cqy{V}i5=rucd)kd$|;11;QIax{vlo{NCgR^jOC7!t< z`#cUFp`q`ivu{k-?#6q7BN%?7^KW!Me#{v-Iv+>p;K3RBXF3bz?E5rl-nk<%&21#y zpXBVzTUD!%zPizuH~RWUU*G_mbH8U>Y}o&wE@0w4{o!Zt|GMSfo|y6CYgV{C$pv5y z)~8>7@$N5K3qL-*`fKD~pMLq}yU)LVr3SCwy!`MNuReeIstJtiZAIqOe1H1k_n-ao zpT7U6&)VM)KYsuB9~!vk{lofU{p{Os-r{o}bF1n9P4@rY)7O2!UoQAI@@=|Z*1ZXy zH+*JxnJ)MeY)f39ZrgOf;ya}KzAR7sdf7I7op!(7rl;F=yX@DMsrhNYT~~a&=W@B; zrfI)FZSy+cZkMNJ!#sb3dH$}=@W;R7RDWz}{sD~m!}j5a59{=_+46??_$R?5^9U3z(PzDy_@ha;{KkzWePz{^nmkYybZK zpa0ZAj$!y00K!$2Se+x>dQCvVpYL(c0q-P#3U=T__FM>&hvfQ&~Calh}=;; zqhPyk_YFD;lGp2Py#kIcwQ&{rTkJb01zgHh5K#YH=*qH z1{Nw%0SEv1r(gg0rw`x#?oU9)zq$OcHemzY-Qm{I{r$Ynh_=fGew*UDc_gmY+ zi?(!ry6p`iSC#Eg_vLb(CfM*}Irn95%2ljppD%3(fY0b_xn0^815z>VHvWw7yZa9R z?)PoN*ya!4|L)g+``hoIw&k$bn)78^8>ZTvaVBCIp_P`iKW**wa5 zYh4<~197rL}EJs=aQveKV{O=C>^)@!@2N6uG$3 z^Bo_hW^r3{+ZfSwt%amz*werJ+qRg6N4J1@ZLkp!z)@_t_;kBmFZaec@3)jNDtlXR z(+uoaa&Fi>fzybZU+#_MIxaNKy={(a`+CPC#Ep?&@R9K~&#PhE(>n4s=QYO0xQ!n< zIv-cy3B)ZIelCp$UH!ORr~3p1Me7EWT>{X>w%|e`$c3wISz`f{U^=&n#{5M}a8P>_ z$Q-o^c08K2?O0NE_OMeFNllh}oA1y>P~TIzL%jj&URN;zSZNg?iMjpS{_E|v3hrEA z#S$BBOVzOI-AVk7QM*^!c>|pjT+S^O{B|B zuA>aSZCQq9lO6cdn-FnJlUq9twaE=w8BJQ9aV?EeB}kG1_v$NPm#bgr+c2rU5#9p6 zg+ZfzubYfKAbH#VdM?`L6!h3}KueSBmXMrHuGfNu_jNo?7+il(lnxR`8OC9yvc1U$kLL-(syV&<9WN4^R}(f z;?u%r9G<$cTP4SBVYjj{NbkeK5;?YoK~hT#1D_}hyA4EtyFup7i-XKx7Pkzn<$8fE z*PAR*KT8wnMzzUAQ*$&~ufy^w7e0S_d2DirTG2;=x?V=vKmyO2 z#3L>UFN`K(Eda;23dkFr73EloC@mOcs_mQg^?&zi(25C-ZfjhYNi zb{w!i%6$~HHgJHmCNT=+hdRn_8+ZSPGug=vW$HpZz%0GEGG>f2 zL)piBZ;3UT#f>-EZ<^%1riU#E>0Sx6WiAX?NpenL+d4G82^7nW$TzNoz7<(d{;3(T#@r z;6gCImRaoZXq+{PQ6}>2G)ikSV9}NCkh0z@Eu>{6d=#Lsmln&sUY3{jTDLKig`z;7 z3CwwQCKIT4oyj!vfW4^>X(lVJMR_JDuQO4%F_V=NMxF^QM0F-~Ak>*GBcIqCH%>FT z!Xi*+g7P{ObsICeQgF#LS;KVgO;&J$I+JzeB~2R1*hoiJnF-44Ow?`6WT!loXL6kf zY`oG3QD<@;`OUt=+8#5xPn>C*3CinC)UD5?XJs|5wOV_#*6oPZD6g}v#)12VR^mL< z`CT8SIoE1jC(xgc|MX*>e zqhQf!+R$V!j=1XvRiHFkr%_5+=fd)@Pz6ep?K-mjjT(J4f!Vn>+2KknO?GPZ(d16^ zcW!dq#^v9r(R-79f+|obGpzZgN#oyzP0mmSN|R|D+2mA=0F4=sJZ~9|0NYZG0F4=s z;>$W30k*a1b^AUV0UDbeWsz$!0?->>o9v?zpfR4j{M%(T0$kyHtWEC42yi=iZujE3 zMU$(nkkMorjR5;J8Uf%?%T3nN2tWr-Z9?;DZ$fiyX|j$+fJW)c^7qjQ&`4iqZTEsK zEjccI9}NIByB9)mhjX9{zcl+5v2>b8;ddhKh$b}ql_qVQh9)yN?+o9+f1b#1a1 z%kq_$!qQ}eWw|ujiv9XZOJQlU!+u?w?4%vhWn5`L|*XY^Qn@(=M%k7PWm$P+xJs5xSY91=Fpdu0 z(hF6(1=H`{-bZni8ABvCWX0&+ymLh%?eLhqqizG2zz zemFKMvtYT;;ts!Zf%#e#s|L@p{RYLVtYRw~P|vD1d}u-8sJe|>lFCcB*P`-l5VA&i z4z{|s9hKZbU9IG18jYbB=;OT!`2Rp|uA?!OfeyXNI$HCt3#@si$x=*nJNW-VZeW`8 zCOepYs?MZWS8NzerC$GG6y5S+u_(HTEC>hw9hQn7H8+8pdDI+CG))d{lI~5NJ6b<2GOkr$ZpR4#=jKb>R={tE&6zO?Q2vd$B0>aq97#}Z3bdVY`R!Ls zVR`z^4}bi_Z-4!-zxnZpfBF8$&;InoKed1V_rHGj4}bjE-+lMt?H9lJvf;RmKgR?R zDxpXdn3pz$GWkg`kNTyNPG~yYEV#oUKQZb7+ZRa$^z`IH5j&FejkGnAGfhUGM6_N? zUSM8GNijc5-br90b%66y%;MVaU^E_tbBAgEzBNMB zSnUm_{l<3MalEt=tY*VbG>XMkxL)D4pAkT#@U1HX$Q?I2UN)?l@#nLKmI#Z{t}y6> z@sem&y!m4$1ZROIFxk%8?M`(bIMPT>grq#2%G~~7DzGOV z5cGyM+!nZoVC-s#qGf^-)ADtnn>1%dH%6I~LJ>V16g+tH;n0`%hfuhA$YYNhB@`Sr z2?a+@E)=ncfV6yH@aPLs+Tlc9#mcNWoDWXMtCsrL^-urs{)g}Wu`!sFv(ZqTVGj(w zBsp%Fs!-aBxQ@IN^Qo*^xAEK2y>v4&r}JJz#;QC)O&qnc z8D|Yt;#pysBaK;pSQr=C_M;8|0AtBrzW)uW`QI%6>%$Mf`{SP)Z)u!%ABo8A4zW6d zu6KAqBSeg%J`7lQFgH_`E_bL%PqK&LkdxtJQyOto9B)IhpFX+iX?L>HCx=teC%00# z@ySVbfvy2NUZWrgqiw+DTroKXke1n5%<4~`UBjT=1F8B(Vs>%xIXge}oZNMtXU4ZM zMNoimCUa|i#O4ygkD5zJ2!vEf2!a$jbcfWk%uv!~*4i6`!#*md zz*O|iJ#I(dNT#6Z$p6!!*rWyzStKI%nK%B%FhtH=vDP+m8~{lP1~*1e-~KJbI^c$ma!u^xCt&JY>`Vp^rgSCOA4s_m_3-On4$A| zLLO%a%vgM?pB=J1@K(*843E*#P!2j%qb)9YngvpR5`5RjDh$4ZFyeGT92-&m(3zRi z3=C8h9vQ2H3ABn0Sg=h1D9=scUqV^v$bIv;=ZR#TlR@ZEOy-K)0DiU@#VSk(lz^=W zccSbJtaZt!EA$YIC|wZG9lhIjT;SUZ4Bn^#sR1yTdQmS2Qt^7W9XyrlmFBU`GHkW*b5%h|?fKx1|X%IBg1C zrmTto`yLhvQsOIQTWB7=MNY!c=Av&MTo_=3$ATpI>BP15HvFJMY>FtLvnKI1L#OTj zbYB+;%Ixh9SX>vKXgjdi`!0l&BWhopiXp=TPjG`;+ffXTa-?wU!9885O|01Cg5$ zx7#dOojpPm!8-T0bno($)(LLzB6(*FRL@+SZQ~%nZA~DGbX%V%R!07`7_}gxwqpZZ zX|FPSX?^9HJuO(qW3FAkL@I#^x+iQB9b!5RrozJuEKRBQLu)jbn^80j^n!m&79z0r110N~-_Wj0eFv>&9Fiyb8pVM@+I>r2R*pELs?(m~r355%1+ z94zuc*Y?B%N#6Wqu_6O){9y5Pg91F1cHd68at1+4L-z}UTD_}JT)kUm;;i%F21~`B zX%5CA(SuK+_1P#PAeKu*K8h~2zD$Cs`^dO00(5UI0^Ba0Q;1!uN|Ew(+KD+!W=k#` z0FZ4GGa~)^AWrxR*-WgQI&HWgAb5lC6lr?}%4D`tGGEzU-l!tY!nT`S-YGXbm7X3V zMw7?eR+Hbw8A-ign#L144|?a4sNCXE3zozK#EF>9t>!S9`rRdsjGYDOI%A&+uuvTGtNON*eknK6D?E07E`Sux>W=4ZGlJKTFg5x0j2E;U9ImhEv;Ep zh3hG8(N!UazC$iEJi9{+4oYaJUEt(FiF1h^D%_C>W%iD=Mo?2TM`#haS5IM_I0jk6 z%?B)Y>v>-zZxg2~aj!k92vhP|B1M4t&~}awJXjxH#_@9Q^K51xZY_9_-{j>GMJ5gn z7y=2*eHq3f16>}$_~ke6J{P}?8|Hk9L|_Jy?E(;Zs@oti8QM^2jihVj);05&E5>M)c@pqaabb;3G&P8Hi(Ac zF+kMd746_5w?0PHz;%A=xHitF3;x73+L0jk@hJdkt+Yv)Mh^rm43n)nC)-f?V*8>I zS%vw4z(i@R8T>_*x#QQQ-u#?41P9ivM!;k$Yt6 zp-1u*Tm!QnZMR}?a4Wg*Jtdt!1mvK+_bM)9phr~Mx_q-FP*G2e*9la3fXlt9Q}PkWa%n z8(5m)V_eQU$CaeMLbL#B33EnT;dN_U#~qkEye{JoKn^NEz9gG*RM8=GAnmx--nR|+ zWcsKu_LUx495bd7B5gT_D|>=D=1qgaE;`4Bqq^ZJ?j~+@3nd|B2#D6g!kB!6Muou* z)HcnS!M4#UgK_(eRaj<>9@C5w-J8I17y87ESzG}OhR(C+IEPrndKwW*SaRSUu4`pf zAJ3%C6l!N*23#LF&xWNc&Ff%~<-~4?{^=`YcyMik3VC&^5@RC5nBEmID#o!WwOYh3 zJ(1A2AX6(I$HYm-28NZfFSvjJk&hJwh*HcT0Lv}FW{m=DhAGxw5K@?WVFY3A1vL^d zRl^~}7(|N7uE52&cE<#j=JpggDs`NQ*g0p$Ye0OLD`aaJ3pUsi*e&j6 z_CaxXQ-{h$N)(q_qsK%=anm8S-zq&*acPe^ruGo%dV46DEcvy>m28LGmjKpe?K?^xpen%MYRPRRym5;-`(zLFHMX%M3Ug7Xxsx{S?5l*gN1A zRYib!3aGd1fxuW1U=;1~4o>f0PXLSJKz zk|@Z+w1Cw@qB;jdgh|WMYfiY}Pc_1M9xk@h|D)gu#NAO`4eAZkKEp@JZfCdC`xau2 zfVa6+_=HJJw$~ucZA(}sri@omgaZKpP?v-Qz`W9>YGgcMmFN=UC{=7kds>54))7;9 z;7v#%g^xS$n_hw}&#%p&#}v!z9wcb6A#<(2amPl3l7F(j|f3IrnIDlS9P@kK;(PQPSC!kykEb+Yg+=Hj;)5`Wx|+@ zE*tXPYbR7(X2R!&({gw(x%Ys3%V{Yp^$u=`vd~UApS{EV$Wty#!}m2RbWkO^uvH_v z#0wof8i=jZD;a(?lqyH#if1waO}j0m_Uo}jlFtV(f)m0p1228PiUikPD^UxWe!Gs@4>(g9epeAa?CpT8;) zP!)6{8mAiG$%Y5Cc%{JAgavW{)d)ttA!??m5qcXdxye`DSE)m?3WhYN1#83!#FY;&JYkWeDfGI9Y@}k9qQLgpP=VQvaq24IqqRYJ< zzd&-~d1nY5+SA&Tf>LEpGVJ08xH(AsLvxV(!%$qzN$8(Oxac4mA&Kua!sYW)+GGBl zF-)yONT^RV^SZ!$-hyO%=j+8^iXb=;_J{6B6Y|m^TbclwwpT z`mC~9_B0+SL_AR$=I8*hq_3` z-VuAS>eQaPPsiTz^su@X5 zX)zHP+sI*T9xN^MK#z5dHt!(^Cc&Bmxmvd^AuB^PC!JUL7t5~}GZ(@${Mm44W#z%= zN#%j_6gX8{=E-lfmy&AFNK8&jE(A!WC%T>J(SDt-fclZ6%7U;xY&H*l|v# zwP;VvTf#XNm-dwNdV7lC0Rl?sxjl;yFz7fd{V3W)d&)Sg;-US3VOOX$o#IMmNt;qB zu8I#mruI~?(u}IRO~nI5M1>6GG$wb5%-!AAi%WapYHCl7DIve_h_z?&0sB*XD$miL zcbap17FUcwcwORHFy$tU7&#V-OM4=GZ%$w`cKzilp|G*gHl;pwI1DT&W-k z!-fypumbIc(Vn;a*k0O=ic5RQj6*yJJjykm!^6CLc*8bGqqO;FXF09<9gw?G2RC`6 zw89Y%uGF4J`2@)7>}Tl!$EiB|;X+G*9Gv|q9pES`?7|$~V+oMO*{{+A9E-ExWn8qU z;WoEtX=x8>KDVbt9PJ_d<@PKs?V%s!_Own%dzh(mdzO~=&^U7YkiXd;vTJV7($XF} zOl}|2I@?2b&h1&6+so$P^(+}DqCI4Hwl|A3OG|s`S!^%SQAUDj4l~@Hw#S=A zWI_$2ukbBRml+S>na!G-GY*>8C&fAXr{a8txS(^a;==&;RjRK0wmHK!<9#-Ad%SS0 zAUyB4Rq27!2<}w$ed?iv-*Q;>0CO=f4$dCNrx;ed{$TB={#c8O5ew`WrFhHGuRuKG zESmmigFV3SC#4H5yr9I;Y+R9I7_{9$Cl*1X*F7z#M!a{<>#VRJ@or|kzoZ*4oQ_F( zyp&sBp5nb-IB*@Wc?;LvVY-D&cbFqKinA|}Q_@ZX;<)Fl3u|c3OC0HAa=Kk|2*TC~ zXwJCu4u9S-VZfDSDqS%tmtY7H*hj1el^}-ebN)a_f9#AYMj`M5ipKIN1QpU2)j)cDoa0-`ZvCmX!;e>k@il)FjEA?ZDI zC^2a$-8=NlhS6NtC$yrw`3!x>`7&j;;xgzvTSQrf*W3*4QKR1LVkgPg%Ra*Bi9qe@ zVCCDE*}=*<>@W;MKFQ8imUcTP$T~03SWto<z5{=Sor-WG$?>Kn` zjnPj!LHa28K3$5Dri-jta}jl%4B&%Pk;T=74!t*D59C7%@vTpjCGG;c*m~0dsJFRVfbH}IA}qhI0O7T z9w7cNPn^YvE{BOj#h*JgO8|4DFS9*J+XT zZg(0i^RBblyKEW0<1$?P1u8eA@LEmr>?#&Ad+QYK=sm(7nZ0!il}xK-p9u~YyfxOy zaIgR_9|G*Lz<@T_1Op3ua~RO*nqXidZyBsqG>F8p7mWK$NUXTOvR$Z>5Lv;00ot~3 zVVKVnHwlE3T7|z0;*e#qBq8gHY4(a^Y(X}c#vo)IyzC{t(<7jXGSy4U~%mL4`5Upj9W277Gj$- zE#Os}PMTKVh+JG3>L)$uIuwRHb6z$|D<5PL79YAybJF#ka;#A!&r4Mfom582r@!-_ z^5#M%7pRim4ZW}0@r-vVIyFG7Va78ScSy8NkeAzLNGq@HPavXu@qGt) zney8k%FS zl2GDeSlPi=gehI;8TNOeMXb^x3)66=*oeX^^lcmI8>*<0(_B6#pBwRBQjEfvP7|iH zv`mwuW}1sZ6KwBtt6(?T8+5BHkq)i-Fg>om$JMib^<7Ewt+19KSPX8A;mHj|!;;Z? zsI;-YFg~cz?&z~OaKZl6H3nm`-RjVj_{FvY(wYerZ^0(oT#u}Z3voi>bFSXIK2Yh0fnxdCuU1cM9e((Dj!j0HXP_O#D<*o4;M{ z>2_KVij9W_`3!03atV)VkIJ?_C$S~FL(M)G!gv1U>i(whMR z&hj_~Yw)rXD7x%HoQ>eGHyYi-)fWU(KGrmKzX+Rur!_@;Whk?77IgK3o64N z5G5HhNrNyjygW>Hg)!Qu_KbyhQN))&2??g>7=+=b2H@4~TYw4QsbmW8oST(h=s)Y_ z4}K3Ja`c)DmdY2M@|4f#Ku(|p^eKh zHjogk*``=-A?Wr5?&DjL4=^h&a>tw%|WRdO;HpC23 zkcR2dw#mA~9Ww4j?ygrsm%dk}ON0SQHpl6O;YgyWw}u}wuUN73dByZp-ttXN-cQJP z@N_?+Op1P~evwai6k<|s8hkMdq8jT1U3uuBt`e;5&xLx$0HZ&*MpHHL{urx8baN(6 z-J&>w=vTm;ZS!?YW30lvgLlWFCfv(aW4oo>A{jWSG4ZYJIIGa$f_~`24=>yL$)m+( zi>RpxoD`!$a2oHug*u#w*N)SwDLTF}K9tef*1zII{pDNg61oH$@-d=~{8}h;5W!LI z<0&RS!A4bpWFfbvgTW{d1|K0@lvWKs%7e400DpeZ!GnT$XMn?Ljdo`s5637OK5*q? zHNLM#ETmYBFEsGnc_b2}Xwt#5$bXxl!f4W|v}*%($gPLDTMk&NzZkEF7w8DPqQ}MJ z;N765s~PFeq+Pz~Qy||#_)!%41oXK$K3@s|GF9&c^4dnf6KMA`yl=)Gr5lHKsiXI9 z@O9$ID5!j3>?(pcU>sCGZFY~Lk7hK?)~O2}=~U>>Ou`mjh;eLTOixBP-Kfc%0UXX9 zC`#TtU{Fo&KvDAfEaO;cfo34oB|WR6u$q3b?UJ686NX-<#&!+N&nV-HF}WyB(BbI) zeKrx@0sOifm+6)6#&sf4z^~Gn9m9$<8`FIzZ@loeRE!Pbi*xg1!?78dzInKk-7Soz zttMx{EhYCaZJ-+aIc)^t0<*v8r5}_f;TQ~AaubM5mc(?ZZAc>)F_1=)HDZ0Sgn^6@ zj_7XXE2MT#w#`nnCD~XaTxyt(0QByRIqJOX+YCjfT+_Dj;CM@{qMFR~7BSYQD}*&1|zk3@9Aj zE9iQ}>IUWI;fw5l|Ks=H|4v^UfCjL{qosY*@R8B%q17$QKjw z{uNU;?e^R5;Y=*Jg+srAxe=rlnvxz5Rx`>b+EUnmJVzW1cB?&dZZM%sK3bk`aK!BR z0zs1jW~gNTK_{mCgH9Zkjwkz`(+!q$kSP$lG?7!cDK~C$L6|4nA#!x#o2ei& z2%55XSl6Vtwk@TTe-V1jt*O1FdU@t~(!c%fKY!n`Lr+x$JJJ)y4Ljn4G{O#!6WD<_ z7_oF=2b@AU`@{}LP23$jXtyI##5Q|M*x@N*ho^)cyuaGKxC75fk?)VOQe4&a1;zQ+9-zG>t7u}$1qql^{xbU4q zn0RbonacaxnC>n1m1Ef4)Gj^@hE$%LwX?b5yhsmPk(lEypH7m^WQQ5uEC@`*Gxb1} zc1~~}6x8$_&BuEJbAKtbzbOmKrlm}z*sbHOacy^RGboPV1%MAwCLV+&d1M|#e(dvN zy#ZfDB!P5N$!NvQHawb7q!L5oNGP?orStVvS*h^mx=9ym>qjNVlUWM{kif)-$DKCD ztx&591;#|MP}F3p%rXf>HCz>A4$QBmkqoT45vwHaP8QlMY%m_7bjvsAdK+_vM<-@-n!s+OG|R_&_Zyz^AQG zqDT;<`91oOv7Uyi*;8StG6!}yQketW0gBOzy~f<-eZl4~51Aa%PGPSxZ@F(LTo!6; z6O|h!#9c6=gkmzmCr~P;s!RN4B0tn11|+*~#{gQ<^P|uT%1ZHWJaT%2JQe{u?ic!m8o3jB_&ag9BncZY_KTA`G2?TU8$zoz zgKIYXF}#a#H(O1%hTuIo{KdM)Jy+Sd(J-*6 zzHlGyEk3azCq?AcUQxe+olx_8dj05KHGAY%)F|~BAFosV%6jRnmFF=b|G@zQ?E6gTDg<_t~J&fh$ z2{afgmN$bLBV_EBli534O`Y3=d+4JVE&%H@e#;G< z%G}7sWXKA#1_*Gv8j_6_QN^r^=DGGs>GDXoDw^loH^x23W+Y>2xJ1bj^^Jy$Stt0h z!o5`mKX|Nu;=`!F{>^WG)P;h0u9H@ZxKGeOfe4h#7f=-Kk4LtP@<1Dbc1OH&07``%S-+{K6bycyide}zr z8!~Q{<1HR@2!9GJ87ttAd&uY8J-zYO3E;0Te*2- ziK8o;NP6OO4!^bl^n;s;YKxxLM2eb-gR!(= zB_*dg=MdNXIqwQi4r_7SE=y_04FduyDmKdw3By`IYyl0LMC_}J#+PLU-~8ganT9iq zkR=^hX!Kr|bff09dT6<@bm8=+%b^hKX~M+55R?rGb|}DRC2tghH{yr}cQ8z7)`)KY zgi{1`5EkHX{n}cmKK7c$lGwW4jr6N(Gosbj@rEnuhntkoN3!%p(m1N!tGGB{Y?u;P$L4W)VzMrhy9bh<-NiU5OPqq zV3b=LqJGGRJwO)8u;s&}-hpg{Yl5xcTl^H*(wZI7PW1!Bv>WPx(T8stcoHBM%5 za=a9(nZ9c$!aDDK9>{BSasavttTkf@OR0^8SBz|x@WwP{Y{>9dg%n6CZjgcw(W3FC zY>pU$7EOxsYmQ_#ehpJxl_=%#2J8Iz_nbStci^(~fDxA=?8A>kT;`cc@tpAQA(~IL z2;md>WGnWCW*WRx>u3bwmtVPd&TEJcp_S*Ih@L-sB2z_~toR<$}IYrh6gd3$O4qIeU#yYOxJhQ7LHb|#4Bi5_5Oi3& zHTS1m!xszcxxh_m%Jt10h~WrCsyG7WK)lgm)BrDo3%_`B!B#~}C5x{K@5}Y3v-|^U zx-jh4yiH1Gchh}_p~o!eD3-soH|?V$>7&ZAgzJtz>|?|TbcY7G1j!!Ioi7RiF@Wyz zop510!mU`D*p4RL{J`ZVb9m%0(S3p&%?SkG8{l`@(ur986I(<*-Z#fu1KUk0`V_)= z#i~4Rd+O*;!WfLmqxw={fqfFsA};k(0V8n>3nle&I|Rs2*4IS+Qn-cDFH_E@BjICf8u)Xp}h9>KsO03Y=hUe zXkmO2$n_j)BGsOO3dLF>-NmGCxpTWJByXB4A0O zk8?>?AJ>#&*#L3Vn*2LyebFX|#H{1H!&;L`czwyv_E}sMWh^Qb<;&9#zyItv?fifC zS3mynr|)0>`cJ>cLSS~Zg~aPBh|TS|*3LGTW4MNsF7?MBBE5p;%3IRT18=bC`f00u zqjae|1WGi{bfX0u3ut34UA(?s`+Z7S!g!ShZ9NffvAo-Sf%a&PPnS1gd4YOP^Fb-><{0~;7~K{dRnM!ws11e!Z(oH8{#mejxn*= z7q*bXchP}&GozX?+6)337h=+Az?mjxI8@yr+D@vQ%Ygh%<`|4odZ5iO{4n~m;p$?l z34+OupghKbX$M>A2kH^c!nWeC&pg4>})f5HL3@=El^7-QXco(kQ*)4kwcb zrj(%pcA${sSHNPzv%&$38^w|gize3GO(Qq?cSo#w+*OBeZ>sNVsq((gZG%n4SV}7g zIp&G;-k=k5g@AR_mVmr`>_&9sc7+Mu+xHeg6`-G5_+^=B5%-2zF4Sf1w!VzXW8*2NIz z@(E$hh6Dg>gHReD-r(2EJCyh>^8%#>wC~WH*bcCVIqSfBAtE^0a|A)OhBnZNEaNxI zCW3KxB;W^00*#dfx7>hqOiJXH;f{?%Y-6$31$CTl%eqjz@BZa#Y>ZGqs=~DC!6Ov% z#bV|l+CbC*hYo~aJz@vR21<`>Q(^iJlQ;@q7alL)V(=Vx(gWp&@85%o#c&r2LB=Z_*~DYHxV>GkW7_ zyx9g#k|}?g%4d?ktE`!1w+>fR9=*8Y_4Bx`d`AGK-z`zNG8#rXFjhUPB>e=3hT-tE9Pi%c2_qX{90m+ z4Y|*&qagUrM$VBp9Rgb?E-2TMK+{z_Es3@3k}x7T7s6(Q7U_W8=`*9eA=#b9!QZvy zv;$P`@($e6B~~pN5{`n>1MOf4q1gk+1~=pN?Hf`MNC5oKJO&`k_n5)bSg` zv6`$zmp*IDTV)%7%3*$|D5dyfKYy^lC=xe-19c3#i4Av$Hs%zl0S8f$z*ZZ8#U8+a zhlNFZu~;gABY|bvGIX-QvOrF}gbQTnza}kh-5lDarA_KNcLVe0O)j^?bN&J!X#k8I zaoCik-A}ejPQTo0W_y{MI=Za7t>)^T&IDjI8H!-ji&I6%E?;VEKnAL`9idA{M-Lt& zKwenIQ=U#vF*>L{FGq;S>Z}&tfGWDzX2Mp>nV)X3;(2V8C?2d8G57oMHG5Go+JC_w zL}ar4R~3?pS47Hny{M8r`m7PkqR5!J>!Y}m1&#q7+b+th2YiJn$fhFoW!)E%W%!5p zz_ruE+(Bqz4w)hO%Zl+SK-23BsF(*2u;(A_Cg}0C>O0Ep&g#ibL%wS7# z*dZt3nZ`cD4-^O3{4U|*WQ=mSJ4Q`3fxt?N8>wJZl#t*x%`#M(FcGCO#Z)0+#vb(` zt@Bk%J{DNeidS>sWI0G4f+TeG`4Zbn)DoXBfeIoIj@FXH|P6KyGOt0nkB zj_vS+oLitzF*l%3@+C2sM0v;M4SYhyRRVpnb@Kax5_H|)Nc%= zhaE_{L0Ft0N0jE!Jgo#<6R1Uri0I)uGbN&?BF#p$In`p5R~29=Zk9<53imaW$$hPr zW-(FC8}wWUlhl-G0Bh*8L)c{EZnGpG$iI+gR5@)l*)?7SuN_!Ty^mvkGX zM*@7e;!0i(>y;y88GE1tF zfU&ulM^S0Q=kD;4Z)(Gk!r}wpl+Eb}q&8s2J6>lXW@K4-r7TPdm{PDCzs-0TvapPI zCJS@6GU;IXPE26l2*E4xmvg2F>KI9Tq)}_)TnV>el?e-@4I{OJG-U*rL@8`-rip>z zMd_aHEb22!6{?bnBdZYl5{q?KGEG+}iGX)yghbD!5*`(i@~rh`V*~-CThf`H2|Mkq zU&lBWBPVzsJyi)`Xs^;xEYrQNQi0%*oY*2z8XifK6Mgx*Q^Z?+R)G|dXaJvtx=4{O zX6A&!EtUF4&_F7mJPs%hCQWa!S9NS@(y5ap(z7}}NVmrPP+Ar*lVT3EqH09dAZyHp zPs{36+HAPQ42yZTsl?&&DwOzog(}3Ng5+Ko8E836u1866nb@;R9O=kmNS+f|G>Z4> zSq0*Mr*uN%$TZnX2G~rqzXQrvrdtNU2It8fp<4=cq(De5q(ESlGLR zqN(Fy^qIP3NGeb?xgn`E8v{L5+XW3Q=wJ)1`vsvS83`JH=>=7x0a>9W(ODlZpi?Dv zo5F2)22WLJc5s^l)Q)m4QAN)n_=Zylz(P!emtIi}CQ?0cPLh;g`$d&x1~5G!z2PAz zN<0YLU_U&!FFA;Q7f@;nKHaB+q)T}mfO1qwwJhe@a#jgBDa06hRp{UaiZQkD2@>uz zR2Ui+)7+Cme8T%7W@a(-C{9C?FT16H9*$ygfwU>U>=s2hAiDVj0wwekI~J5Dt3yP= zhzYMK)j{X-LkyuVKtYiW;1C*+(*h5w&iou>NSK$TMV( zB2Ae=1PEi8PlHG?UQlPC9|bUrN4LzP3G;NUE(v({&JoVE0gTh}qa$;&v4Jjyb{d(b z(2Y`PgkkMHMq%HRJN5czoLBYfL&o9I zBfnXgCWCN+PEu_;>Y;37%c4r*{t~W9%Cl_iu35^qKzhjOQCbCz)kO|Szp#%JN!bqbP9H<6n!NK<^s!%;JJY|j8b%++?yDmF` zFf+&X4-saDI>VhKA9GtF1Hwf&jO+wfRc_#3YrBLcqFpeBbUo|h@-^pWXcF^!gHhHf zr(c(d3M5axhi;t^X_f5Ii^G*RNnn&_(!i>u0-(zG zM#_jiX7F{uY#z<}E1n9n8ClNV>TX2#lLXsYw*Ekxpso?#awwrFDvh7NrzbVh|bS z^Fs}?;&%h7+37uufu3#>kt|%*z1u998uA(yF%95!2#T9rdnPtoZ5mNPwHRR~8fK5T zhSEl#2Y$KpMP3V|FLHwDfhjT-kQfkfgN|(BNoY2^9-a!$cD*b-QYp>CENc|$lU3Y2 zE5jb&H|$;76;TUZHp5<~s?@~kSC(>Zv$kIh5?CwQp_5K(%x=}t zM#Vj573NE765{zWuq-$;=qH3poBr#-~ifANY)$UadZ>hs?elRww$I42AOA5g=--?f)wB}z7AyU29w!cOV#<{ zu63hsAYELn?N#^$oK2F-rQ0K-icD$=b<3v$RV4{;RAP%igyX=hn1`vl--dTcyNJgF z;!b>NxgZm14i)j~o5F@SxZD6p12-6N+Q@#lG-MI^N)b7(a3w=69t?;_9UJC> z*r!JwiK>z!Er*0huSzUUxaK3g6xe`>xYMT;`tjOs_Po+!n4ITkafDqvk-{P$s!Epd zP^F+HtCWZDjke)#gozZQZB88(3_9WBPcQA3Mi@FRR=-cmQJBS&DUF1Y1CZ#%I@8^H zG5{XA#2%{Yk>nuVpti4&&NNuTan z6C5~5*UJ$@&Y)L29VODmBP~=%0Ex%KzaaVGcDn8hZ?s3Jb{a zM-_^0t|CKoi}*NbZUT0{Sp<3++r&}asn5Vk%D!A0WY zc;k9kjp<+{P2ljxi=2x`@5mrJnv`>pQ#ILn%S1ZTTqGQI7YBS2-YwmwC3q=+)}rS@lzWxdHq z+bl%MqD0{O6mDtdVWb9Hw|CIZVX1DQF~O+mMvNm!{d{@)dX6UwSt4 zLZE3oh>Fal00ddBL_E>CfL|u7O92iQ6ACaj?o>K(MX+vOyTz6=hL$hR$|O0($7sx^ z7p8J1v#DG*KELP{eG6ZmzED%3ejl<#@}DWx6=p+61I7{bkYal<%r8CC`d0Us_IO{t z?s9f0gJsuvS0C=k# z(&SdD1{PC_!vZu|z$Glus@~0ccggTsDml1`Ndj_}AWTsOQwfh4*nSB|FGfffJE4-_ zg5sUeNrq)e%}F}xR(Zcq=Rs-mUV20vY}%4a0*P>KjMsB~z7!*PKOspPAs|N;?R=6G zD&nIGX;hL$Iwo?oEP}XV(6G?sGJ|?C{H1$^%u^B`L6DXdL#fc0>Ma8X;oBa(O?&_D^@ii zdIbr^tNt0mZcT5H;Dt|El-Md+RI*1@A+kx9V-*6LR@~UtbzM|)t!@DE|*xjfzF_-r#_{cjLILt5fdh!Am zr9bfh5_$u%#zToHT2go}SIEiRed^G6x+(&a$#BwCT$KpwCNQ)n^IKN^?)HvQ(p-+a z6!u<8>(Ug1rFEBp(q@D7}73!jT$LKJplyAwM|xWF;{v5rIR#`E~C<;MLR$o>!bpUBa&oF zN`n}aqC*t->gqJ`kTLGDK-%z1Bmu0=CZJI0lfyC#ebixa`Hle|`gW2dilQAmHI_(U zoFb?JfMuCKV$CVA6BtQguOW_HjAA%ikED@GMz zJrJ1{qne+uQj9A51p33uMxUjt^5jX`0z{sam1;3I@{G*bLhu9Il2_BQh-?dF(sqg_ z_^1!I#eFpXLAF)3_yr#;Dc7hFqDbx?5-rXQgCde%OqX1>j}!Z{UhEOGQH8G1r1#eN z18L4}@*bnclUHLd(FqKvX_!G|M<>WhbFC^6YE z4$PWQ-1ussN)?B{el^!ZQZ1Qbl~gNY~d;PJ2#{WrhS70UMP4xM2YdM4t`P3&1L!FP~+~ zA}&e5BGI`&0D>KJF$A`RV{j?e+^|OE$UC|ffppo9QmF~1Sz`edfW7lmvs@^Hq}+^2 z(UWLkJIdlNSVEx8FF)>h1gU%yLt3?eRnfHS{6xAb@9o@X)w+uuRIOowNveIl!NC#Y z6Oop+XCX%S5z?|*K@RIM2jD`3hfqM3Rv^DOfHI!Uw$h%9;6#l+h5D;XxMz5e0h(0= zs6f(6T7sEZvy+v&7k-(2N$OQG6426{qPkTj`@2*HBA2kn483kSgh(e>o^J^1^I(U$ zpH?M0tZj>AGIAx51|bZPN(-$~7#TviOgu8V(L%T^jtu>$DK<&*%4g&{mLyY~3^0?E zRN+;``l-}O>K#&~B6&dW5xysfNdOV_o@nm(j3Bf2Yd#nFx*NQfEVKF{$&XR}&4dS; zaAoo`JVteeLm&cL`Q1bhXvJkj1!6N8SI=Ye1t-1!gx8zSZ*ko@n7?4wUw`6YVV2ck z{+9Wp~&x2k3ovQv~7v{;QY|&vmttOnzfx>n}kvWoKD~HLd&6 z2Jw#HEfiu>MIMLEOFjI0m(Q2FXkwB$L2;o6@0Mz+mIhfhzYyN4V1Y;uwYD9_%9h zA(Q(9)z0^LCjHV|=}(!+w_ehUaaS-_jPCmre;lmi7m}5Da`4lApGmN0 zCs_U9V(^aY7(7rN{g;D_?jP(XN!08ls>2Xp2JZ*=GQ-U02N&J+gUiL0gNxxFY#GVW z>}1HFL$~3AUurn#mz;IDFmH%j(LP@BQX{k#hJf7oZlgs-Vb)9)QVpbhu*W1|vlFo4 zRY{-N&M;J;7%h&nLvki~CdQ4*J3cKL-(TiTDyimF&Uc)|Z9Wn=2)co8wD&o>vHv(= z96Jt}X5t4-HGvx$CJ%6fv~70U)=`fSnmg*5;Zdk3kdqhZg+4$AlC=03L3|M7e!F?N z9A>UP!j)k!)$Oz8DM^i$V9Ay5@ljS3FMSsj11tlwq0F z1}}LkryIPGZp}`&;+p_kx6>_7;F}4+RgSuQS49Lt&|7lLjqE8icVsYvb6m z=x8(@d$2DU6KIGsg2&fm9}Gqcv^WLogTbUprjLlaCVlz+F(%+bK-4aVhRm#a_*TOk z99n)IjbtUh|Ip7eMx*nE&u?Kg=}I=5TwWZl(dK>w1h0WIo&iC)v^h~Rzu2;Iqd!#W zIuR0;spU14vckf$_QleYZIi z-)+u>ZgJo38aTs%9k*1~(MV&KGqLHKwtKz$s}@Y`W*k%sq#nwYWU5;__Dt4e4=NiA z-^x^^uj!TEvU1F&BLn6V$bh-%RAJ>9juoLY`e-dGW1%6>|WaL!%^nvzxRF#lr@RSQ^SZmno zhS4dZEyo9_3E3nZ`^k04GIq^3lA)#WXZGRT&@&82g0&tA7C_rK5}=KZ1ZZ^~)>lXC z2IPnsF6=GCa3opl_=x}w*I|p{lFn-1d!m()dLu*txQk71l*6=dY!I+va8j`KM6<(M z1GF66L5smf9DuZ8d~+^@yToCf8b%|TTAfVwrOKV^NQ&g< z8KE7{$-grUPRg`8WvYYsZN=cRt$Z+mk6HP`^&E#z>tYXj`N}!C``d=WNvPJNB?*J~ zO~&9|t%9^mPnNGdV%|BpO#L0hEomX0mT73}_hDG1%h9YCg>dUz;P2mF=6`GRjf(T*m1Oo-R>C}W1ORfZ5XWwj;sfGGl)^xbH6p?}wNYV?M-fijNMll)UXIV$qH4+q)xj-M4p#@+5bg z7H-lbH9sHj0b7;owjC)HhKoJqaK48eF4!tzScFvw*bj#ziQ1e*)!||zIb2fD$W`!; z&xJ`3i*~9+YsrK0NR_suyu{`C-f2ABI~&i>rpCj}TwvmOk8p*AXgkx&u4(u7xl2qt z47hPPrITd2p<6}{xFWS|J5$S&OvKS*^EldykUFIrq4N&_D;0JvQ_HX?PL?p22P>Ce zwvF~A&86=w=92W$-9i^*I8c1V*Y)9?;$PEnfBgQp{B#8FGiW^AYO%eY@F5|EZ^0Y_ znL(yYCWxeXX&R&nF30+y6BJGf>Nm^bYZm_YG;;vDd{b$MMLK&GSA{`MlJ(6OA2&Vr z!$S{i_-9xHX5Shejv9J`Y_pt(OXl)1Cp^9nRvp}`0x!Rfj4IW8iYobYW6%ioB{$S% z&IYuRgmAZ&o`r?!B8}RX_#R9N zi0Q#QFqqef1=QdO6GjNXmPU|72S1*J0W0zFAQm&c-Xo;heTg>#lyqappbtRy=n!Z` z3^5Hd(bQaQNH1&?-YJwc89O8%DoctOwJxLz#Y^D&1`A%gJt)fNwGMU4O zL=4OqsIH9$BQ%mG3oh8B%pi=ETNY?t7EQ&uEE-kF6gttq4p*I71yn0SvO@h9T}ODk zJ<-8QMQk7@l;}U|VY%23TGvd8ipo7sjH)IgE7Os#p`0KUjGQvql9+gC02PUH4G_hJ zY>-$QPLSbW_Wtdn8F`uGofAL6?s;x@B;rd^p%V-}a@SUHz zqW@SXY&aYx2(mf(hwr2YgOKlwLFW;%N3RliuXFImtSlnUE(u&=`z^sStkPg=(c!ER zFqODO!fxD=?E?#?@?CCg*)LjY>>OQTG4F(fI#C0q2^1_X6rS8MKM;EbPRDLY@91I- zOY@CVQ-7Tr!f92c21z5(J%i{lz%@m=u?A!jJ`IE%^28)Yh$p%jA)5jpG%mQ#D{L9p z(;{5v(1B!e$Q(31agEM}Aa(U{v&md{eDa;v&MbO)Y?K1!X>0(>&=9TtmfVY3MsQX` zLH{%!zW({+_kaK4@?n`iO!)KJ+lG;M*KWE)5Mgdz0$w2Z&>gV?A~1013~ zaE{Ez%!A<46_zKx0T-m~V78V&{_XGo`FG!a|Kn%BYjg5%Kz{h)!}Q@pgFKvJnwXqv zP+E3ao#L~>8}8(k4|>o0&2Qf|&~E$?j$Z-a77(~g)4F+l+wQOEtz3C#dp#aw#Bjz- zf4XU5v}^$llM~bq$bx8Kej%WYU7q!t<5JuqBc|; z)yAgd&TFl`A?Pn^H}tnpQ(#_zh61S?sPUSJ-&x^A+@!#7v&tx%`oyOpxKopAM8{+F)GlE-)VF-16As1M*5b~3>4}U(Civ$ z=47g)8OEt-#y3-Gpr`i4D;`3Mv5C~##Bc$Bnz5xsUJtP8)tQ- zW?W{V8E55a#*qWfdWvXxl`;5Q+;2M%=gt`*zDN%^*L;MkU) z;%D_=SG-F?JOh2b3hGF&{ z!zcp=hB4JK49S9FTdMkQ%a3q4wW7IBYs?X&pyom8M(Q1=Rz zh{BaJ>(|XW0ADu1!Mt8@ON^&AN6 z6=JclC)E}(fYr&svJ^{{&8hTA%Tx5oo9U$-zROE3PjUdrRFV7=36vKBj<`t&Nd*k($Z>4}%ANl5+=9mM4ocn} z2v9QBLCItWCC$0fSe|}OUX(@7cCXNm>ZvvF@<)!2?v4)EOx}+7uTxKyG&!R~6YPs_!8PKmOfkZ>LoecOY3dp8&@+ zo3EMi9t}Et%bfxbk&X}6((W2)h=)eW?z|2>wqdagdLelQXAOQP8f4KzDgO+%h52$j zlG`bseTm-m{hY{wN59dMmCxehEZ0ZxAwbYA=g)@FIR!51*Yx3i>UM58r)1eq#r4BL zwBO?w&b5wwdh{!*=#@Aec~CextC50h5zD;#`xJ0x)@7pk&Olkf1pt@Z63yv7;}^vI znUp0#N!|m<0BLT0b$lfe4(}k|4T+AC9h66ChX*-tNOs-q8@Gt@gWXFp&z{^|}%{NbfEadyWQ_H8gE9ZP*9)gWf^`Q<@hQNA$fgKmvNhrZ{g*)RD7Zw=pt+~bO*kq z4V^vUv@hE94}|u~Q_KmbeMk@3LsqBzE^TXn9uF@}2+c8T0*#+T2I4X3F<$s!Qiw;X zh1W~=G|>ZV7%If<$RdMX_d>Dm?on$e5S#WV3iA>^Bkn zmgW7nD7`6cXBDO4z0}(=j5T#Z4X?XE%ZxAofj<@>kRHN;9EDh&M&|wP*;M%1n2_kqS4yFdcbhH zxYoi3czaMeE9CRZ9{)9;@C}MgK;h0Wj#9}s=j|PmbvRpzygIr_0y{+H{A`2sG7vTH zK#+_j#UaMB8xnf#Nb&_jy81^E4oHtk&PxwDj&FNS2n+3!4!_V9mpg&0aqEY3jAs-5 zBO-i*sRSXFt&KS&J zj_}hs7mBj;$u+3R=N-g*1_SAQvF%U1qRN8mo#^`=|gL5h!F z>m3yx2GWDL%pFzqimeln{+$*k$wKX695fFq%u)ru3*%WF*MdZC7{C`NG3oJq4b4fN zxFQ_zvL69qMgqZWAD4bDpuZqOt{B&`$rat+!X<(g>8WqGdhj+R_RZx`zU5XTJ4qz? z*hoIT@eQ4TUBn6!91NRFu#OE9X{O|?vnF5_$r%Ii4K$!9!8g2t#tQijG}0+YIBU@~ za>H1bmbaWqqM+ghJ7^4VGI~zzBxSYUh0gg)IDA|l;a;%V$CjoCCjSp(Z;~_1l4R*^ zC3ld&_wK_Aa0SI8IN*Q?Rwe-g$%;Z|SC9ZiP!iogylEfF&Q-l}wbMa!^}N$vom!mx)+U$p|4>1a@EcAQtBQ ze3^NuNN&zAX~LRuP%Qj#mAcmJ<~izKD$|bAvyANMKXaA3yZ|^&CQC9&v!ux+QHoL! z!6i%WA#d7YIg@D;6gc&yih_fQiDw0wTgTd!U@uXYR_)DXj{hB&!H$fliXNehWm=5T zMT9`#GX+Iqjy>auOMF@<|K$-^j)Cyf&;dB>tpn5%M+aC(TyaA#y`M*1=_>lmoj3Bf zusk?yY}p)0P!xhBbS>+;qJniDb>%`sGdsXeno3FD zrPwWI#52cnMLe5nC5zGlMRAZS#d9PCVY((rYm6&QmnMXbT1=U-)y1EP3}UKB5A%kK zkK@Q=A(=&9H(85w<-*Fe!z2cEXovm$X+~i4N=i#?CRDnsqhf^WpcGjb}oR;^|JYvn^5!3X6MO0L@ z&Xkj3r1WsYjwZK#LhbsiLp-CZ%Y=xhF!|gM>(dl&UZPU2E0L~Cn3mVl9GyzhF%vj? z+JSDp&OahP3qyaOe^uxRcs)y_(4DJp|`Fxy# zvC&UyqI4g!hR1s%8ups2DCieWaAJ5jY0@7Nuh~nX`ndZqDuA=TqI-7tSJY&`q`1xX z7sU7KM$WL+4NcZIP)fvFNUXYWtZ=0T{Ywdoj=c|erAJlA5AM%l1-#5WUOP#jUTP2R z;5H|gNE2_Cj}%RM9hDAl6kVIGUsmjroVadRgODYr$*Zb#zpfk7Jq4e69-jsv7CH#> zu1jaShl}cs4PxYR6Qfdg7a3^rH#uv^R+Fo|#NSQk7OF{qM7Htw_N`N-^pd^yYO+p| znw-fZLLJl5bo@nHA{x>w)|&u`R}q`EJdHGbE)V&t$8y|bIo?T&m$4kjYB@PytCstB zUlQV&`B>g+QjSRea+PO%ZuV#>=y&V6yeBDfg2n)D!^LQHXB=cu80z7hxb;Gfrh zr|A8nnrn2L1g224>J+C03xH@p0ceB6*lvaU~7eLIx%gY0gB%s1I7!eZ`&2zRiJIy*^~8RpSv zr$luKnadQ_Pi3mQhY&J){7u(;3{tNK>w4xsmDobNI5uhifi(tX!3ZT1TanZsC1|N8rA)>PNA->n4{9;9+fhWm!Q%o`|(E@ z>l~4E#62Q(&j|id6LimNau_Hf>JkY$l**H+d&^i{eX7YCw3^hYUJ5&3WO)$ebML2$ zO!Xq@#=S)idb|h*t$OR(Kk`7Xr4~YNLd_%pC}TBFry`s8T-0PS4mFu8e2=-LFcjpq z2CIODegT|!PF0WBCm$+5w%13Y_p{Gqlg?6EO=iC|Irl4{z5=T#@f+AD&P5rXN+JeXS^$XJTUV){zhUNTaT_oRWP z=l(sX2&*P*|I}n`$1U=g5KJ1|7EDqpmQaw=F(|XBBLtHkpEgF+HqOga`3CcV?X$w; zP1~a6d5WKx$6!6LtFli958(0l=~z7|BcOZGy@aa=J>EUYj$J+6A45#M6gj$j(Bs{M zV3gH^+*93yqIp*jdfe0n&@kDQR(zAUz?}Jk*Ux=MBq)@4et^eoh=5M?kkNz6_U<0I z=UhF+DfG>Ds|RZHD1gNcgnhMtLeSU^cWJ!O<3dXSUqGP06s#)L<0@E;*i*oc3ILSn z#Tb*GQ#yAZU!EJZv+L%$bxy8jbBM0sWw04mD=-IvGv@_;uJg&gfMY>b2xy#7-z>Nn zH05*#9tkI%3mU>Lp-;+uEUHoUbblnra2v;iRr2xtF#vke6(3DiX8_bb+Ds-?yt|ly z08k<(wH~q!-onwm`Y{t~cL-HZCnzI&6Po@4OS;BgA}N0k-WRy8dDHVQ5O`iUOA~fn zx;%f;9gBV`$XuIUFDY8WK^B=cqsO@RCq}2nG%ZxH*F}+(OuU zfbnj^X$WnbCOG5IgJbL&eAsEyIW%S3}Jrq^BB+Y;Y4cguYxm4T3+!TiR?RVZvv^%~JO!AzAtHD9=U zCE{qhyw0LMZJYb-znoq&IJ{p9`peG(FZUA45`dnQ6etce30Aip*9+BTk-VDh&#DRi zrrmBDSO-C>%Ia55_EAc=o{y3|lmru(diad8`tpxio#DE-RP4CxA^m6mgnTpHD7_@_ z9J-o#XH%z=?5-7)>|Ti+hMZaAlEt_2f*@yaQXC~i&f}9-IH2>`BovOhHZ<``iH}(6 z$h8p#tV}30`juRUUcCPzn0Wt1w$1*F`1JaVk+ZlvQ$sOwmZN(S?ZgG-J}g`}Opm`57X#|aJ1>H1zL^pblWmDu$7v_gt{U|4}09cI$#L8sC^goUlg zCwh}=#u&x>#U?=i~i znCDXtI?LBu1sN>s;0Oy{Us02N^zzcrUdyX)&6PqKAOQZ1rBLGTaW?@5+MknymAl6S z8Sb93p$fZ4?N00w|J9&t`>*E6X)@_LykPywpU@1lPdVP^n%lFMed2hV>m7c%VnWgl zr>23^X6+$fnKec=S$k-|PWA~Odk87kDhQ+{a7NseUl-l3P=0biUOxEFMHa|gtH}El zu&Xs21k)t4Sh!p(YZ5qps!ws8H32((&VA0kwO_cI*e998@(a%%zzt^);D*(MaKqJu zal`20rTs4<^pYB}1rX&tCW}-k)jkAjvRI^=^frkB@Jnov5($oIC?CEI1lV}Wtl;((nF#@hYR@UE6M@J3IjYjNnj5A z_h011+uv1~!}{)3PT%^jT&3%~dc41j?{G?3e20U5o}{b&hO-C8xkg2GhdCjUx|tPj|&aHgzv0`SPJnNm_| zo&fuG@&pi4Z|0a1<9+ZcgTTodgzCAELKwzKURSXYMiI!99yeRM2;(7(sSFfxo-rrCoX!F?)EZ)>^tI%$tq6MV(>0lAXb2OMrVh6q}0L_Gg;`^g~lu!U6< zc)z43{%pa2OKcvhxBG19&lNSJ&hl~Wtgi&E5jwDxK8FsBZ#rUON-H83=0S{*gm{UF zg?W@6(9i@RK|AE9!x84{GU~Mw$3N#OC4hgdf*$W4sCm5PxMFT2{l(BH*1;shV}rJb{2oKt?`tVfm3bU8jh)ke|VgB!{s=6!@R@e z3^&{yr+Od}Ob~^~DSs?;kC$-FRiARqnKr$8;h2j)`D7`TAor8b?f6kz}!8dFr6|g;I-?G9OtrbE?~8EIgGuu z77mAXcn)Gv6FZZGmegeXo4I0!2$3KNT+7n~{d_A8X}zQ-(+f?L{b_n3laKQ+>v1~{ z`Ec1!jI6!(VtwqTjI4URGBfl67s5y(rE4i>V9HZlJ%SxBJ1B+6HE4$DFcduXa)@Nw zvA=hSBkIl(H=s@Qoypp0*Nu`#JZ7URfu|*V#Bhse%eu3}GVNjfyvos8hCFCVth4R- zrxRVVr6-pu#l7W0NxA zD`t8#LqH{zisMmpM1_P@L<($wa)-xGrgJpeqyUtuq5ugM7faEX&=U^*+IM4F;sBhF zS9`nU^%C{V5U{$fx-KcfKT&!PN)^!ApCLl0Kck=HGZC*7pFv#ggZ>nDjq|OS{TdgZ znT|y#-Tl?4q`D`BruOi7>h&2RG_^k9wXU#J!s*c3W+jNQ&uX|?KPHIOj~4|<=JLtF zlnlexCv_M(Bqe|cSsH=O!VPpM%i2Oc7f64x_bvY-2$qAK8P>QE%1QB3wHxDic~m4> zH#jGs<|t1RF3lKMweZ9OOQT6m?3l|5-4jgfvyfdV%)o+OUWog3pydopp>+O4oJd07 z>Jws==Fd3~Y7HF6B{|M*9G90WnwkHHwa(+@{|7S@e;@pxn1HF7I3ytV=B~gy1~)bc>k5Pmug^S^-Gb@lg{q z<@lsAl>DUnByl+>OrVOL#|iXP*nB6i%EPb$Qt);>QabxM89kLCZhVkybM;2)>)5B1 zacD>5HF!hBd>MMnE)2azY@~l_J#9BT#Y3|Wz7nuq%vxCl|FnwgfFPSo=20LofDoAc zivsSdYB$o^Gfd=3;VQqEv^=#R67~eKG{PZ#zQ{#HAA0fjGLMiD@{#M3Y*H zyP){R)U^EOGx?Xh^5|0N;VrdHi91{1)5R3KGJYB-pirc`2`Ox=r~_+2yDFYLSI}emHe%MQ)Xv}bna8( ze1vf-!Wmn=$r(Erj>ji%64Ex7!ful}e!{6ISEotS{U?P)j##0cvr9*I=9m=Oxw_-= zI49RAvs6I1(n2Q1IkYtUQ)ua;HXipeInGjDiwKn;?`S4~kB`J+Is9_5rLkBMoOzxXd?*;X13;q%0ibk013>o(w6=UATj0Cx z4uVZbCWRmGDO|jv>C<#HO?H5w1D0%|Y^~%`K8*6OtND?mKYUI77}OS>h)aS0HCPW2TXAEuP#dWNLva;k0) zBKm5P+O8&YQZAJgvRKhH=gA4#Y)FrL84hc#GqV+f6g3}v%As1u3><(pyWM<;FZZ{9JX9zICy1qftwV$ z%>|@IO)lU-`MF61piWLelUi4W+M9_p4CJtS{lon}vj?!PGVb^k@dymb^Gs_5r|CjBcEU<@=|{bMC)F$e1=Iaq=P3JZ>5r86ZC1z9f( zawZO%&wBqN&N-X|-&QDKpNr4w13cdB@0A=fn&_J~vAK>vC17$*H4-Wjx9u)Yu zfes0d20Hk}HU`wU#Zw9_B}q;Ca*ouVM;zj$F`5j{dlEH7MJ-X|sHhw^QBhOW@c6_O zg6Q*$1X1?NrKQjjWb1H8#CfmNNrmTePe97U;>(I5oMmrrXSS-%UQClP#nI#Dx(;Go zYx;~J*k&DRMW%S3RwNlNooL0LoGuXe5Y7>Y9G@r1*y|M_Cy!SL zLd_R&2u41STZGwnpPO;{@mHU2k^sbga3-SO{DCxW^my(O4mZ5P1=S4Svi)tU%NRBR zPj}3MbSahVxTa_1Ix8^~0OP|PPDBD=a)d5VpiNCjEa5RRK>EW+7waS6Nmp@JL`6Y< zWTm^pOfD~>91m3^_RA93Q&u|W1L!%>dz!523Ep32HH6@|KN3kye1`a-f^IHSjelD= z;6~6*-9UcntLzla)P+;!1aho~$MK}%EKE<%uwy(yO8H#twhRM;QQnu&waTD9L_X#L zH|)I#%e*IN){)qWangu=xm4)fSZ$Ya;R{Vp8NCwo%6M+DM#q}D1s~vSW;Z_PRI-k* z@c13YXP?@A;6VY_^rwa%R6m^%Y=6F7FDqg}VFIWUVqy#z)2qAB_35y3SRcgMd}n@{y}Jr@-UtU82MNRV=iQ zm9h;}?*zMWo&MmhpcB=FrM;#&!Hd1t#C?u2A_;1Yk_2mvJpQ7ywT_VD`Us@B2t^vs zq5{l;`Yh?Kla6>?^AcvU$$?C+ISoLV>Q5NSng);8H0(Ikh@uBPaBCVgQNZR47IU<5 zbYx}B2?+CNvJbgI^D{32KmJ6l6p!;0FS3G}bPSRa)Y~e|Znls<3c0`LknMG>{^XRL z{rT~nG^}VxITF^lV~T%Hagqw_TcNTv$pkW(eZBf%m8#v;C6mja-W#!xSKSSxz_8Tgd$Ham$R$=A8>5mPx= zkeJG`?~cq|D@c>tcfv0B`XI*V`XI(@lzL;X4^L~~^>pvM94&@zUZ_whCKIhDa$$-| zfYr&H44u{q5wbe@yC1I;VvoZ*V}mU?zkQ#vab|1Oi&`Iqg3h(ZgEXmCP4-&Tq-fDe zx@)b~V6CCbmwvE3zdsRy`$w8hagwh4@xf8>C4L|Eq3zENDw*n#h+Lp znlw{;of3Xfz1Iuq)~7vD81(ooP1a}CWcN!}$w~uqrHlTOO^YoQr2CC8xycp^LcUkO zg0)w_STtK^3i;mYQl#{cm%u#NOO({u=~6m`utolzw)V$KP)VJihMrErXX zX|nnStlL>t0_%2GmB7077r?sp7a*cB8e>2?n#jEGk@)c(i6Nrd0~k<=ey6^V9(cTZ z03wOhP?qBCyW+u6mW;zy z?}F*3vgF6rJ0)c2*dUU6n;OgWF@)Ee(|fP3iMe%_n=*=G=q$xyAjW8Bw9JY6Q*S9( z>CjuM2?dHW_Rq<%r*cH6QbSJT-Q|dc^zQ;9TvBc*Cy*r82E1hIZk>K$Kqv+#k?=kvueL+-h9lyRH18bJ&!jnbpQ%WQNNB<>F zB)OjUUzBLM|H9P*5P8^1FS(z_S|kezV}-}3{m4_4mX>@{)dMc8*#nO^O~{Kjd%$hg zG$F6n?19IdE(NyE9zav82dAKp9(cSNp*(~1kYKo6e|s|P}jst1J{tsZ#1cHgnJqX$A@no&#RpFQw+ zvt`ah_uvSY+I`B4jUN1X^AzPI&K?M18RuxPq#vKo(OyYAvZ_q@D2N9PO3#XncL=S;y*d16s$Xf{S3_Qb+PZSja z%_W(sMF7JMD}!*ZO+>0_pwDJ}AWibK)x@r*H$-u>l`u}F*^CfSlZnDrPlWb}8*j@_ zQ=}V=Cx%8S+aUj7ep!%>$JwVNWc9XUHtUm3`m(duMQo(HIpHN1$H9j1wqgRyPEoj_ zJ|U~S9?ylLPYXbqPudxOp{!E{ht4k$9D3p-pSTq?<(UgH=%w1T!L*55^RU7Vz~g2- zD*HS~%_r;eE17i`pKt|ME&&9S5E)-yx1YM6jRvle9DWOO_%hLyH*O3(93wo=tIFNs zyizwdc|4N&#oTF9Ur~0)s!Qlntb4IeXVC>+CsU_M^{KG#E$Sqe*T|iO zK1S|TpZOIhZI6+=Nq*(6op%}jJHI0HS?&@RtjvYiJbJc zx_Ct8YZMK6las8;S?WpxyMkC`3=T}rDZ@jkyvPS0bYO0tV$P4pp?EkUgq6z?eQt+c zn<30lbw%dag`z+2=#V7RMV4^Zh%<0PZ*tc9!n{tKl-6CJK}T_VEL;GBZpU%l-Ews! z!_1|TFj_+;6S#(|Okfu(F$?E$mrf7|*ongWJ`Ou#aOE|^NA+wwYJkIhSHvhuKR zu~v`p{l-d=NPQ}NkxgQE$;&PtL0DLL2f(=?UGBW4+^(Vhrz!E24;noIczLu}DU^hYw zAy*}bMJkIAe`p~*Zdop;>9NUId0+T-@pbUx_xyx9Dz4+Kkl^{@e1#T8Zp?NEJfav- z*awk~oz;eL4$Y)6C$A20z}cxaBNrEHaKMjyMwj448InLQq4la50O^0#pPVz+sS@_r z<6@6b1rZZ`_TZP+{qiH#pzEWgEAA^)<4&l?J3=+2-Tw$;c_}3M*;hP#q$4`!jUTTl zGo?fOnI)#;cwGG4n2IT-t(_Tiu47fiY5U`9ta7WJ&5WmayDeicCX z!UssPm!N)`vmDfK>RCLjB z!n&AGE|D-@u3c}pO4iZRD1_j578ra8+kH@3@ZOOoepS_aYzIdvcE`YvC<`B8>D^;Noj; zzg85oE$Vpn7S*PF4vGH|8VA120UaI!UH?uRJ3b2`qFLrrE{p2TdBD=NG6M|@&p}&# z^2Gf*eoc5<#jhD|d%g)9>BmWQScF_FUdQy)H^b9CIrc~Qx9DCD$~yYKn2Qt2$K-k+ zDVc}b+ABwI;yqkyUaS>%m%5TMxN z&ovAC=ci?1t|S&;7(Ii0ef5df?j52|ue?HIpn_EmN+#q^n3mbswL3By&@1?B#Z~yJb7gD{mnp^8CDn4T!Qo%DemX8CCIyBjn?ip@ zdK8*F__%^0J%EEC++QmLh{mqvIwjz0zPv;iLqG)eqOq4}sRh?$&zNp~dHT1-q6 zJ}9Z9k-rD?(O?c1>cMaq8H3SE*MvWcZO#dQbhWxA)GI!Zx}}rD7hj*a#Dw8}eev?c zC((z$J}FI5e8->U*m#Z^M5+1flP&` zbvY<0%_x6U1AJg3{qmdFH{aw=H~q)|6fM;}p{1NBv;_4^*oYqmQXpEr2={_3>NWkk z2tI(_!=rR3nE2qEumQKH0Arf=*8rnnOFR~=q*Lt6>LkX}Ec>3&4es#aOf0&+9{bD; z#P>epUqvd4$oI{4+S-e;FG*HS_M&3PR2kJ|^~>cwV}XzHOeEtpp3DDXGRoWYdQWu% zidTG8%GAmRKN89?8@U8yj)QyE=BDm(EY|qqfBo0L{>%UJ*T4VOpA{10&yISR$ClOU zJ*IfeG!y-BHlo7e_zsq9Xo$c2j#gWs(AV@1eaRRB)024s6vPjuZi_GI09_>>ffZwe z<-04>{CA(#Nf997&khbOBRS30w`$2HbHYHiQKmK4@=KE!lRAc^2s!kvJT^DsWg&uo zHwbZInR@3zXztA+HTPWOn7b%VpVi!}>eun?Q#J2m5DIAs4*k19h)t`A+j*mP`EUdE&kNKVd{ontezyGT~^nY6H3J~{~E-iI#azYnP$u_D*KSXV0kcb=T za$X3xoDPC+sg(6bWM70D$x)@&;vkMz)iYJ~Ghab~^e?lpJ>@&7({$)* zDQQw^qt>ks8f6;MRC8JisrUK%;d!`6(99SpREjI5FP)11)i)x>aexxB4(@loaTdk zqh{X^KYrroGHuLZWCh}vn=T!rGT0%CuJ5U{4ZvW=eEjKpnEz6~OxOo;`BF!%hP+OG zRmTA8%2(lz`D#-6rQZGhgkMa!FwWfaW4tI5hl#EJUplp^=o~-fbCQQizm!nmk_+sw z6c)KmP=X{~3{)m-!{XI*{RJu(?r!g@=5L1NXR`PvGh;Q1nQ6*{^$}3A*cS`%r|e6b zp5(x3$Hl+RMv{M5>Z$EMo0$3~GY@VqS?x{2YsjH~24_kyt+!Iw@6`SulJNnft4UI? zBDk_*J&v8_tT9R6)t9{I@orB!U;h1+Wj1KAF=eErIomyMayDmsM^3fiJAQ#OYxvl% zCBPtg*!N@X@?_sqS(<%oDHi+Il$(8{zZLstUr+4&((L>ByGdfHD30ee%eMsJILbn{ z>L|-+g7W}-OD->GCkK+iQFd5EZVp@*o4{K+Ikx8299x7@fft@v2EfEtE{?5P7RUbm zERnuGwtFvi&GaB&VFxIlIy(Hqj^@WTihhfzw3n{ymIQlK&^QI4ed=xj(3|au$;qqm z3i1_V)n`@yh{HKrBmxMcKx$qz>;o^#T{nBE4nML>P z>M_lG|H=p%R_9ptA;X{;wYEfuelniMEAZ&%f3N~*XC?&wms;ns0?Lr+6;RSlv2Bf> z_&khKXvSHVIqQR`+0`Zk-E$!oN@L~MMTt2{>zY}!YUTp4W$AqD);+Ug&cB}-O4y~8 zuog%XK~o8f!nPV4h5eDEoHOl~3@G?5PG__xEy(>CmqQv-KjVB?NCW3vM56Luu|M}4 zReS4vH?QV=(>hOkweIu%5AbT#E~mnu<}7#d@zodkg+KbhF_W8%iC^UFP%Uo*n`tG% zjB&{-00qTLehr|5WaQpxhE{G zxAAW8?LWY)P_QngV6}#+z>l^MU-Gu3eW;1Kh;`YB5}$kx#Ztp@*?8^9U2F>$B%-iUqm8HNUPXv!8Z6@$Tn&yUCY+;W8KZtHtEkVpbPsl(- zu$FF$9*ARmOy$xP>;l?#Y!zBn$2REsCwQ=?qMQlqBjR2R6&_myUmRJEgXYNMd|ov3 z!Pj_~UyDBG`b>6y8CEgIfFqPrijb%~`XZ1sAiO#f80{ zlMAa=b78iV)d-r}%mdLSrJ_jWv+wt~F3NmszQ3 z-(ww&_bKeW882}UHBYTdGi|TYV#5MS{sA_O_H-@nsX3B1ZdgZ&Oy$^R2-~-APOD#n z-D}6-mO0YRX|;dNX{D9;T^&DGq2A@!=CoC>`;R#-3evUX@5a0aPJxc!R^K!3zp>aP z;8M~hj^8oo`;aaa-Y)5)k=j6lp4rR6b=k}2y2{0C>B3&tyWY#igSD6c01rl6D$mhb zx)jTibSakO0w2eE2$eu*W!-DU+Va#<12Go(^O5(+VcwWeR}Cs1FCRQ$5pj!`S&*s?Xpt7a4WZvsv6x=; zdvF!=FA=q}2>9WMdU3Y+UdL$u+4oG%De(FK`d$U8#I&!>%ke#o;9!wR-WP6 z_c4mKA|u2_O<~fpeT+7R=bw$%eBe9CL*FYo@RmB&(H7!jKgTp!IGubaC}AAt$p^Fk z^TonAxu-#w&=7$2mB-s%?67sBoV;HY1E=eE!?|=J$ z|7Uy-+Q0wG7@m;iO9htNMv|6d(8h-6&k3}VAKh7S;X1&@l+m;l^<25R#|y{bacd@& zb*!}mCjG*@!zV!%>X~1!pt$^=vU1||ht;eAq}&dYDnN(z__R9zCDx>*&3lL&1yGF$ zqoI)_=gHU6nh2)#HE?3R`m$A@oG=OIL<^@fBvE5#owHI@far~Dnh`f*i)-REA(tz( zS~V$qrJ8WfT~=p#;;Z4Vupgb3d-$$1BK;|!HX*rGdO12(aPo>jr-=i*Bh67wN)lF+ zS%ZxxLI^79${!k~m))c)=Dzgjhw>u&6Is>vb1dSpk1^7Bl<{E)=SS2AUPx^V5$g~il zMrkRUREkJ9u_=z|l>m0J1^<*C^TMA+2Qtsr^$~R7xcww8{iW(LABV{_lXZe#N?zYI zqZgdpl6n;8i$qhbE&kIA5LXPfGh@&s9+53E4nKOSEUoI_CH&IGB*&ag7+@4-CgL0)}1*uA%2-*>bv??OgoRb{cIIO}-HlRr?eX1b>DoJGd zM90EySN0T5%=<=@O$BO#c;tF8Pe=b!+Gctag>Y3esVb3)(Ik7*)5E{w+IIG+QORo*fgFf1z>$d@^G|9^=Z#w^qtIZt18}j##po>T|f#rET2a zA5l!bZ^m5j@9v$IpHT}xGkRL=u6*`Ce6w z+K-o@$R0zF<(tn;O{*5Jct3}ga)O9yGFvVw1Z4=%G*84W+Hi(4JmWg(Vo3;O1qdsC zGv?)df=C`!GVAFbwGc(ipO`I*DRv8XZ*;OyE1Ed2EOy}~uS+M(i&1ahMFTHtsQxr5 zJCxjqjPdwLMBAaLUlKfiHSMTlK+eO>6QTk64)q?8Z=8(EzHh5_BO96)OL=+8hUN4 zSN}Jwy}{CGQVhi(B#pZkPi2qvuY1w^nft4W&7o8~GKE5)MX`BhGVP&dh#|>=9nwT; zVI>%F9SBN_1J06`-pf@#$#sR6H__Vyi(%@ zLE1nQc@Q#7t`I-66pW@M!`auBXd76ATa?aO=fzM-azybfLw^02>?hwM^a^myociHp z;|eG4@+GwxBi9n`)8I+cg|;Hs0wO|M2P22ZwzS4{5R8{<8rH?En_$x+$H-q~@bOaK zaq%pxCk3R_%u1mj`JQCj*k#%jC9paYY7PQAR{5G&lJYaNe_|5P))MRe;QBnRt5^GJ zXjResO+jdab*cfNQ=AXFP#CHP7%v<)-}u1F(f9CK<}t#;6X}5HoHC3hE4lUs!6o6? z*S=U*vZpm1o!_aF>U|F(q!fsQIbibHoAOk3$dlt7e{u3ll|h5Au`l5bfv+vagCx?52?7+VR+~pQ@gQq2b7ok}!Kq*&(DVwD1vLV#skt4)XS z(b%R9%?eTz?d1RGF#PTdIXD`CkINw%s(iFFviWXBdxNh*lO{B@lR&e-6 zX)^q@!)Cspr6l@&9|k53?TG9{zeZS3vgZvfjnU__G-xrbdsHK{BW@55ze zs(^!bK`q0g>|>JSEZ7~q(3DVepBYf%tJYf)&zAX6X;*jj^BlePMwE4lihD+V0%1<6!X>_B^V z6-1f<2%ztv$R@w425^h+VM{QLDy)?nRIy+87!KmGjmPJ)OksDn8gJ?o;J9@|YaNmC=xSvtP;N2zUsA7=$Pi~cWov1h*zHKj5?&9zDeJgd&)kA}Rp;xAEP8C(8IJYXq^ zfo=HP$7A1DDT9l~Ww`J&P1AZe1#fVSgQ=nh46G4>^;7^UFTqsgs6rOtt45)U`QW)t z*=^0>pmPaTJvP>m3eU$iHbbQ$Zo|6lEsz5l7zMd}BL}#=?-{aieU8co(l^ov{Lvxl z?0(5ILQVY5$hT{r_X>8>GaBrKK^muvY%>EaCiFL(8R32b zyctlVjr)Qc^)%fS{dfW06!}`4D>i!C+^2a$+F-q%grV0+U{{;!wj&R(m)BD@4r6Q>G@<{pjSWbU#{VwUKQBx4;FB zWBX<8o05)~e~}4O9*6jgi@BwB4hFbr7MSokgVzLPo}4ZLVl{p+g0QQJ)h8V|%uEDw zfQ2?w6&*c4yFdZ;*)kG_v@YMaYXXO1D)x^kl~zZTf*kVJ1!n~3Sl&AHvr#JC=4@&b z4&13V(bGQ1rf7%k4y+CNoa7xfH8hE7%RQRZXfmNG+yUe=N{) z;nD=Y-tGy7Vj|Mxj0&GA1rDfp1qA8wT6FrPhhR*6wtK+U24Rg|ZCf7vA!7Awrr&<& zX?Oqzq(lY`zyNhZ0^4((&sYLrqk_AnI@-)Gnil`brjfbAuqmhp)SIL{p4QfRbJKwldSPBf!sBgTr~_9ENdZW-42oo!;Wp|fk^tlj@|2>b{BL;_)x=^n zhbk+I;M?Hjn0C3pSW$o*VU%44xKXd$&$6trHsdmSAeI&@EJ?YBnne zjC-w}91{?nB#_^KUjri?D0G*-6ABGDH=b_K7MsQX7QiI!ZN}$dd=^1qn^MzxJl-Vb zz%wcwWG;wOCsLRRhc*>cAv=pE0B$6I3m25B zLOOm;4EHI!P)7E^hS8L=)K5LGd12ewADU{?A)UVAr7GOQUx50+q=L1|ux`#Poz22B zIh=r)Z5&>rYF+UsFh}t3vIW9P)?7{p0Zcn~85n74TO8l^q5*9#y&d;IVkfrQK;M-0 zn6kqG>yadE1XNfhsfFMOl$SncU%-lk`7_gEhGZxKvO_YITTmexDvfA&NyT9*I0i(b ze21TVL?DUDk`J$SpF zdwjXD5^L!Ld)ZpL`s^au@uyIjM>I%c-orxLbm7+};75~@s zGjKy7ri#P|jSiU8j?&0K?8hrAj<2eNvhs(DUoBX-G{@0^a@|mG_RiR%U#n>6&s;^K zY%Q1bsQ6?^QUPL0!Hi*JJ#K%u1~me=EDdM87)>g8i@yLP&j2pVs;S_hk5HL=G}$~D z?E6^vsj-yVZ;fTz=X8+v`53!Yu|yseU|jcy;5XOuhO!qjBL$7Zr?;Td;tn&=aLKZu z)WSkMkEpaJia?inZKXvaT{W*rR7MV?wBXzwc>4yG)aObeK!03OYp9qr5rHO!Y}@mo zF$^Vj>mxbYGFdqSOEiHqF3|*Bv|@V&7cInsgEXZV!F?&cI7!8_{DMSr)rmw{T!o+y zSIr46LmwF75|$?!E}+3T%D<(Q5hcGh|$0688pyakB?Jr&$5WQu?-gqyTFH!i*Ka>CEhJ|`nZ`#cF)!Fuw-Gi-x-Nf-h|g?#JVm(xDDK{n92-&5uC{4fIQw5wPqi!8xyC_c)|)rKu4C zI^yPq>KhPg^+l+-94w>Bw*~>SP*e~HEY{@_RD(xNCJ;DiZutmw0p{_Fq9mf+?G=4R zO4lWUETsY@$?}E=J05S6rN|*fm}@|0fC|tK6|RCrtHpx#X>tl9JY8-@K%N!#k`HIZ zS4~9zQ#o&L`w)DK3Y!=J4qoE3ODaE3c#E2BH^*a~NOT~O{IX9cl8-h!nK7Qfwzk5D zuhiq-ytTTh)JvJ9gn>dy2GCleB-LUF9a?O~jL0K=g8r}(-^KS0+ea2e{6)S-S*Z1r zK+P!$0OjT53+>%amTCa_zkIa36!25M^qsYdNGKNdo{nI7^3^UqTI6)5Q5LX0`(EP& zlmGcVG$s87ICi7Y!YFYZdE@QeD{s4ef2N%Acu|glWw8zq+6Zn(kO@h_hbl{3o=IS$ z#6pZ^Yvm%O)mV1&TmWG7+dv`6^jK~!ToVX1*>4V+tB4`}tp(`{TkC)Te2_wU+$k=;f2;?6p(vK^mUS0<8 z{9Ne0O+)2kou}i2(5B%L+A)O2&8J-^BYDOl8ZJ*N0xlocB#%2BUPk#$RuQC73daYM zrB)oA`Wd&c*<6=rTw*Buea}>2wM{HMPzY%frAL3E5Yz>`XZ?j^KAjjMsh;`W)TGG# zZcqOffe?l9QNl*-D@o)v1AB#=PEg{i@hRY;nT0ivOxp|x!<6wfkv}Z)JGJ=QluTxE|&7}(^>yoP8T&<;4c37jW}M@!YE69Ss21s&_~AOoskKI5^-J8L@t0t zJtcJ7aZ$h8agp&YnX`!SU1y9hC~J43Fs?5m`arA^)-}ZUnaxN1V9HuEH2o`+HDFoA z#PMhmn-T1VleWCx>T_@GPggYRudXms#8?N|Sk#4_ zTl%!bnJ!V2z2Wv}T^WHV&K!Psnru^pCfedmH$f%$9i z5!Tu+5&cZfL8sS(r?!k;v1A!$!;FQ%ep$$Zn(X8qum++d%66CYAfh9>35dmjBfb%f zO8UXfMHB-f?-v40oPBVK?1?1mJP}UHeM#_ zb@VzGPXR{X+WOJ zRb;h+IyS>6>qv^MT^=tusz2-ys~gvHd`lZa*yLH(;|lPSVtEeHyq$~|Ou4mR_8Ov& zYEd&fK3$i2yrTMJ-tNrMJZx36mqGjUnv8V{cPIxLC`h~*PFu&4oJaC%v> z_Hu=745CR5c;R%6M6exNh9aKhtp>=$0POO{%;XWQ*Fn>lngdA+hX&RzzM+9ti&LtU z3_(Xn*IQCV(zy$$N5Fg?#OD{r^xjA-mfZ>E44giNJ=SA+wuqlv31maDtjVsb9yk+L zzNVT;&{6EJ=m!v}2NtZuCn*jHTSz&oA$2 z^b0n;z$8Z}$jgv_G2%ZQF(CeF02-{H2qX+{#SVbEjX`zzy$+DqulS`P^o{+$2g{>; z48gwxLO%!pN^MShGwPf--bDlXd-`rvi;gAe)C4s+buh(ERe>eCq&h(9o|i}z7|8V& zjQC0U=mNJhR8sJa z3RqzVKUI{4Gf8x9oCz%^y{R7s$Zyz3D)us5ZA!;gfa=ty^avXiy|!IzbA2PSck|kY zpD_sK@K57os*rdy%J$Kdh4F)=qQMWWbOb!#M4#;nF_PMD@i^qkEgdm8!6mJ$jglc< z$|&ijpCPNjuVwrL6iJtF1!H15vc}oU_L_@Vp>^wZnF8Af?hr+hQ`p&n2T4xYG$!r9 z2f%QW77hQAAu9-o{Eh45AmDN*knu6{+ar{q(dUn)SgEyooKlRMkP!utL?)lV?Q>Cx zY8@C9;QP;r(*kc*q3z}yVl_;kgVH}bYHY?xNRfZ2l`BCM%q8KZ_lU;> z+*rWDOZ6WOn8j|tZX}Kh;R^LkFl%E;PaS+xILG4-Sv zzM9aQprAh7V z^O2?favIvli>%dDv%QaD?6QvzbP1)N#|5IZkH~Y8d+lQd+kfpNm17@Oak?XFo+<=g z^;K0lHg}>Hqx~+#LaM@ml+R=SkGN?ZG*@Pz_)KCMtUzG~8nLr>I~K>e!UdZvM<$&N zKBu@xUm^;EoE`k&`3lDCLMLDIr8?&$t8-qvHY4-BM!`x~%FTk6O+Ccfa-5E-+4b#T za@i_aLBGUmOce=)rkY<=L1%=sX}ws2tf2_&LB&t$D7CymR_GeGn`t4CSa9Mbb-6Ok z`h)5;yDkavmN>zh4^FMe%bItm@T+K|T`S|1O$}D>W&ccG|Gn%~@qJWf>{+x{&aYf{ zL8fcj!AxD+24YdZD5TSDFJtuP+|9b@+$*wPb4NptBg@knn(wJowP_ISPK@GIZ*CfT zw8V@_o_NntHz4^-hO<+jxON}OM1Y9|s>|z+@bz^|wF?tbndtFd?fK`autA9!7dll& z0Ro60Fa+h)#U!4(54Ou?DU*5eG6m5M@hW_h(hJ4bZ)vZk*8Upum?FNOR!S6snSXFH zDO~H2zRihl0QAX;P^h}na~Ak7h*OHQI1xf!KwNR6czy{3F+mPZQ!%fcWU3;|Zjd>U zkGrN1iJ<^k-nm<77=jPEvOT#Fx3w44@-FH?RWzCx*Rvfr4R9o-DmFd4c0AQ?-C{fT9YDo*qY04uK+x zlVCL^!Y?xM=U;PL~?#Vp@kRkxVs z{T-K7;>BbCL4&rtSB{&#o0GHSg~M_lKBaR|uNA38dKlIzOZFY#s7Q&&_=b{|?K}_5 zN{>rCp#}4{PAw}&PJF%oy<)iJ9_5njJ5bcIK|C;9t=POhjTLi6slufK`bO>5NL+#| zXQqfKr@5x-r`oVpWt98%D3+0Ar={3`(FUyY7h3;Q%);?6u7eU|F|oa#&UE$$VbhNd zYCDS7t9*vK-{mv3JEMtrr)|?mT;eNL1DsQ-Tk^Yxl?4w+oQRn3BYpc9TZ5tS_{CK% zP>sezp<-=~{gSclwgN=wg!WT1rKvg`BQ^f(*Ax@`E*g!*OZ$B9a?NUA#mAlCZ=E_P z^=d@o7n4G3ewNnUCsZ&}vu_1)EDx|QMYr22T^P#l_3c6uin^21hMtH?n3e0Sgmnb& zRZyTry2Z~m*353~SQJrmd$ENhfp4o~I5n1+`RI6}k&w=}I;%-T!B>+4?l?t5E`F~N zdiFE*>`RxyhyBAWIFTahK;K(U~s9IK>8_3#edtZs*aB+{O;6RnfEd zMS6yvhO9)4<-c&z>H)E6bKCDr+Oq?@SQ6Quf4C&*z>XFWlj_p^QkrMOu9$tBM|txD|Fnw#@sqY8@9M)`$e;zEWd*o!BzYOmp`i{T{kPMi5_r+j1M zvsBTtRtShHRrC#jnec>;u2%^AUAu&0nuAmz_s$#D4oI43dLv=-1utri9dahh$Mu!9 zFFhlI|Hg!O@E>WyGml?aIh_&*ZVF?Fk|99-noOefvWMCmtLmf~ieze671#EFf3G1% z%Cj_F1b{W%%LtOeNNEjMRwX56wW4BD_*_cCq|TuCJ48iqIA21F zxz~1hzJ9T`sK72OBTmxd$7>sxE3vjtFv+zoF2dR()l(4lg=R?&RSVfOjF|SC;iapQ zJ=AHO1SY6!mv@jWYXFZM%RJp$4^wpTa#nZ&>WojGl=Nh1UzgIU7MA(x3t{Wi-L9=) zh#{kHOUO0qC+e;m^^1@C4RDnpKuxq+lg!K_WHhgSx$=kFMLx8|dq?dtQ|^ z@$|UD%-`Z*)`z?Ih#QxE>optzC!JOKpnl;|#RS=>jzab}3KC3Kbyr++N~d3J0@lN` z?5!5eY(lYnZ9=hoSg%$w+;%Pl>dUS*0=w7T=h%om?zLhOqvZ;2-tfsA_?B-%m-(w`92RO@OU<+1(6JkZo%Wy`dtPV!W3zx)xk z{T|m{F>P@akI>|U+)B9?BZO=Vx?KI=^Z++ST3z(=9V=2yn&LpOcuzOwr4OVuen|DS9x zmpLgh^@4DTFkEPIKaN^e^7hSY@*M@`a~pN~j(B>(wfM{5S0Sx?2N4@}W9=%xB5QX)sM+Y{V~rW)!v(TgLiF3T&(+GWFc#4MdCWLD-~G4FO2W}GWmE?X@#c6U!;nt)=i;N zqjEWcQo;iSQRUNC;7B2$V$+YQ>X>(Wx%B*ts(kd)Y`6~(0r6U?d&sj_9ze%T9jgZj zqh;vYCQ2Ugk&Hu%FKNSJPXQPUcp@=^J3wO7oO&@a2I!JIK=Lmx`|pYdv1w&&u+k)Q zWsr=hV3~pJIe{t?8Q$|Ww)yEm*Ej}jdIh=$V%PD*BOaGMFnQ%bg1-IJP)${qBz$$} z%1d2=NN!rtM`RWkfl!XMgR32$w0Z#5UO589Cy&zooKlGh-x{D(TG9XH%B+ZRvTa7Z zvK4mjnQ>x`b|HiB$=)f8j)*)MMgp<&8GI;ir~{1;LVvG#rRPM0C`Mb(HDbA>_Q$4b z#kAFsM>*OKR@K6UeZ-7785na~Fj6+TQ_H3?IPZsgqLGxl($ z|7mW!^sY++3K+=QDk-J{=@bdK3w2U~1)JR4l&m3r5%T}9^boyZ?W<0k_z6kDL9K*V z7N#kF;>huQ!FTHjz%O`vRFs8b!%xG(a;r;7g4iqA&JSmxoq2I+R#08(QZd#JK~!euY5)DhHw-0o_x_N;La-z>-zxwkD)cVBPm$mj`kgApuiB1 zUh63v3NTQ#jQtc-Auh4RjAP_f$S^5OF^Pn`q;LK`^eLj~%%()hRMJeKCQgQw!C5C; zNtflEC;TE`$dx)?D+Y$EQz|4u?WXjsz0>=r!?en`64E@5htm&7i3?mksn@zH)v8~C zuArEMNx>!j&D_amER9Op3z7vhvwdm+QENo33}_yRDwQW8_w6pkM>-*BSp81TQO+e7 zdL{fyI^RkMo9CU(x^uNvrM~CdTE)unWk`A@W5C`k28uMkQAE;dd?^aU!)%6SLcf31 z`_rbtH=zTdy%Gv(bwmL##g1!5ibfmaA)UW510GKSTQXEMcM+v7 zvPY67hj>YQ;4g><#d?@%f3z|q=ldCZ7UC;TlP@M78({w5vR3npb0Q)v|LhKKCPT5X^!BozxJm=tmHa&Bd* zgtu`K!-ueD&RU3OsG|s-pk8fcM#~cOo5$MhPnji=CZ37`%uqIpdX}e%L!hv{-$v5@ z#Szi(#L*s&2Oj&-hu|&wybi$w*PhVpWJcmL28&p1g`$f%l6!DST=AIIKIUk=EO<0? zoSW~+Wt9CsG73AmTt#{6BFffk#cM~bO;lv_ecmZW6tc{CH*U;{a9kD;7K`swumL?l#j=)QHrOHk|2cckIRFV`&j-Fep%b( zD9Oxk1?=l60j<9ia2<-1q+}yYGH>lts4rudC(yXs604hE*bt>nx}@AQ5+w0^%|rp)YCLmB9E#-?&`f36|eS zd`WUF8wSd8DZ}9C+-0B(evu(17h8iicNN1@_?R>jil8WuBEbViTb7&D^)epBi#3J2 zD(d9Gj`K;)=9S@pNzg7A9NS>AqE8N{z6#*d;u5#~1{L>}%1#wg^!1P#O*JITq~%C& zSd_pR)^i=rUy;cN$J=#@c-)HmLHV{@IWsyX5?EncaZEaanHWQA!shBoOzfkADRZ5b zNz<|uNy$ucJPXWAh9q9SP_ca9M0oY;0}dG~q~fXxN$#fNE|y*_LJYsIYO|sXkP-Y5 zMYk1Y>6w|H>|aNRcPnB1#Pw1^-8xxWLKU5unFdQiW3JdGMZse52>rqya#6OQvYYRt zsPNbEz~A;xZ;R{wmL}Eyc#w|t$D<|^b6r~fAx}-tXz(;;D-Cs1eLZb^fvvUemwo39>Z2xZ>?2A;#61)5#ZF5O8$}49SSPj;}+R0DdI)5 z(>S692TtBehLXVFjqqaNGD)GxFlxzEd}Fk*SE4<2s;Lv{ib#Ccgx0H>x? z+d5v?)b&EL)JugG!Jjc38eATje7&ESRmA&;ewaXweiD>2P`B^*MGw;qD<7y?Jd>;T zBrdmrMyU1alTa)Foo%wd@{G}x?-GAW@=-ofgR; ze~kHluwrb5vM%%&qhSe-NO#XqR}<5{EEdhO3WdPMh{-5JQgDqVH4ZQh!_h9KExfY| zN-#Q&cvojrlMmps){*pyw)pS~6}K>6oT-MfjS*lYsmCD`pF`mZwlD5l-8pzF9(gaBm-62As#g&krJ=6c8~$p9x#k@d`pO-Z}> z2_plGpp**?29zVLOa1C={eU)ch}0pPrOmWi4n8l_Q+3Y8Pf{rokIiTll6BIiGue`? zQ~A1IWJ^@QJ6*)L=Hw{f`eGoUiMaA39V02_#WO*iVKZbvQXnH`&uavkz(FmiQ7{z9 z4>n&2({+_BAl<2C09NJ(w@DZi0xj)5$#Ewe&}87trr33&)TAJCdxvnhHT?+RTLId* zXyG_Vqmkun!S?+@3KO+<(7!&6_4+Gt%Yy!8y2t(-W12nZBkS14Vn8Cq_awkT*|MB~u%g|N24_UcM^b){r$qJSvOnJ!X zseoMKR)Cb&Ln32?+Ewn^%6|MsDU@f`(8eLxZcK@Lsq;D+q2&HS5cgjqkMW(J%tuE| z5g9VlK{CZP#v#^SnTRA)VA1HPnyQ32;nUG#atIT=uAOno0gI|jV2Nf5ky4Z1C2-v= zkzfr==}Y-kY%UoJep5i)l>B%(6n|MRjoYdRa_@WFg8Hec`pk;-PQ#qeKANmrKuGc| z4rsyM0Y5fV;#fwHk3kW7Tq>TZzjONOTcL6r@srgYAcCj?D{0gSvz3%_8^#3^+OvMh zFEJkxoR9hhgMi9hJkg|luo8?F6&4WZX?J6=v z@+Zby>As`5Mm15VN{`9&mX%71h(yGk(0mKBn)$?!NOZGVuPVONKgHuqyX(|(LxHYv z-R}Cd8K+dYN9`4?yDt&<&vgU10Bhky3(_vD5O5BFyR=hPaXbw1RzPP7G!XI)-Dy#2 zQV#r?Oh!iStVR9gCS+bH|LU-&s)>0~ZXH}PxedH(aT-|DDH=!SqLls=SNh6MvqgfI zT6kV3(rgDfMGp;5k!CAXztlSc{nIlb0&Jfy7qJotpkFBZqe85$f|ayMvgAYrGbAc8vzBM!gxT1vFGt^u-CCX9#Y9L2HH;^MAVgWgDka1*O3bcS+ zbRnrWX(>o=I$b+?u{xQ#QNFPz6Q4lKahB#W*W>b)g0&MUxUlv*2{I?aaq8E^aUy%O z>fu}>;c1J%C- zPoI;>Al2(?0uyU=^qQAyw-`t}T(m{3I|`O|mS0T=`iYEC)Troy3euz2wb+o4V;rgH z$g`1ixqS<$rENP{4K2sAs}US@Hw^XS#sF>_P{jrRks^fW^pl>`5pGUqXps}CDiv-n zC+DtmaZ{`>bqXdySfR`~j7yYgEOx!`wI-tbq5#u+;b{}U;_^ty$B8yo6Gw+-8<^|3 zXCq+XW;{`ou*8ZOZ5Pc~okcquQEuMw?y7gGK(IDQFdvDw9oA3rP}{gTHIkTQNo8Cj z*zvGLp>Gn4^6AzSSsp^ZOMVZ_p_=#*_|z^0Nmx6YXdQ={=IjVJX(uIIpCe#S83hiE zP_u)sv{1iKfcZ<5bNHmkasYYM8pxtMv;{; z#i3O9!0Yl|awMuKF46PAnA%E*)~C)`+vh~}AbRRLBoFo*s#=4Tab&;h4;s~#_Ns## z$gx=Gs5$dZaX0}#%g6!b&wi(*LX!CWFYjKJ)fY9lRqS8DbBZ2h!vqn34LZ$MMCRyp_n6iLTZ z^9&1?FpM&=NZfg1X%7<7_iz+gs=`1AM7xF?YP6elFx63JIWvzC3r1rYsXqt6YHU-1rc!S zp(bGQnelovTvAKYD+P~qU0iinVR=Z2^L$&JvI7_kn4 z>7|tfyvo(rY)%$e8O}ou+lI56tQx0q&ly7zE~E||4GggKLb4?gO{qW2TegHB00_oi zEWQ14)11a1R~9M$IJD||*h;nV4+s2K|7nNf^OkM2V90y;v8|{nJVs_`T|j^vu;S}L zkJ?7PE??(QAgRgWaMKOuxLpG9&j6sSNySvqLDf4U8`ie;;ylxyg*jEkojA|7Wwn_$ zyWsvH29@Ojt3y=BzEXZ>K_Gfxs~#qwsHIlD32KTqnsf}H6y_9d6~!g1BM!yLe*Hca zuEO&vFU}~kgJ+;k`cx%Z(kIG(FU%@`5rP;LWwiFs+_8+cI*gLsoe+qN(#e8p)m z#wLtMRFq&gmWENb89m-%(P8Xia6y86C?NQmKTte1tV9UnVCe8I{O0gBNfu!ifV1 zG~FTFV#GL>LdOA`9`D;wAhF07|t=~2pdkJA({~%Sy%mulXSKb z+JKPMc_fxdqpVV1$|tb8%h64ml2hF zN~h!vg^P#O)YGjV`vwXj^n-Mp$GU^V%+4?%C_ByUnxG|A_AsOTdfU>m=xys_XKdSa z8|wWcIqzE~Cx|T<3K`@$g6olo#+h5EO5a9W6uy#DdU&C6@^dY}Iq7mbZDI{O%2U9h zvpq_{`apKJhniUS=Q7Jx;BH`GI`4AE7hEU9EJ8|9twx1d=~l8h@>EE->U!Kji!h6F zfvIjv=2`)l4v(TENS zkVVtOtC0uq>9Xu~-HY?+a{Z)OR~BBZXasvsXY;zkp)MG!J#hef!n@kGiYV4P7J>rD zcF=VW08l7Hrr8sV2NqdUhbpF}iz{q?s=w^Uy028=awT+#r%yP-N>T;(0N@JDnZeQp z=DejFPVJjpcuF@GOFHGQA(RoYlHm7)`U>?4CZh!L;zyMr9xval*3@|w$Ee)pVp4-) zl$W}`zOoWs;0kmx;7$r$O?1%(jbwL+Or(rf5^A+DAJL>Jiq+wa`sv`Ee!VOLp4t}C zoT(o*$|X?WY88m3%H2rdGt0VUE;}3zQcG)FvZiV6`&i*X{Zy)IN2E*EaFCdkb~t9y z2gw;!a}_lBv}c**u~L*tUo*}7MD73#j~_m*!u`bZ*x|iXkt=%p|lzxm5F?sRMM9iePn>3 zf5OS)H1j^?K$4Oi(>F+|)gMqd5^q{WzlQPjQgxO|DxU~=mVn2M{-bm<6|t1 zBCN}?vZUdUan7YYFYEIIj9E<&>v%#zzgl@pR?g3!_Pi!`98gOO&ODo;}z zMunKsg<$C?_~#KOj%Q$ZN}-@)CDUr4J*Y_m4Uyr0T+Q@L2 zjv8h9crAt~F4JI_(&}IWWG#t><2*|)@C(|MCs9_RX>({s0H(#l1(OS}%m4s4r7qagML+tNg~**HHPE~VpTFj`AQ3=CC1lePtURe5?b$?`D8LCW0J zK3WlHmb)uJeg`|MNd=d^WtZ`*)){JwiwjLWBTR>%BTUD|6qh=p_w57sc``MYqr*L9 zBwJBDmX438Yr%dTeH%an1(Dy;70Lj62sx?-1?Cu&CmKd`mUykQL2<`j3vLX@h6cpYPnyLn^#=aQ zDa(PVl;6}Wm3G*95chlfeY^eDxSkj^e2LZ>fMar>6}erS^QEGuP&0)hv0i~iO&|^UF6pqCx8v?40GTn&8 zq1R|3a~0m}ko#{kmy=i5U4{f1Mu5B!8nFSVVx9bmxGt>%laeImaaq#>?2J96{Vm?- z0!daho@pRzDK&W*SHW;-+%t1a?^}SQgma>0@};ICX`dz^e8+yxvJv9Cu96NKA>|9i z|Kq4Uqee#%FJ*)h)dSb6_*SGRB_3ATvz*i3JOy=i|1$gQz%I0?(kA!2G?0l;oz1-WH1-;CAqL`P@ zE|C>~V8F{=e)~yx`J>(C_8`8ZLMeMu5zri7IPTmjoy;wewxjlTu8RY$R_6O)Rey4Oa0aIC;Z)(yTZ1Cg9HyZfcB@C4k=P z#pj}Ai7p2cC?ZR~7Vs${N>#WaY>Q*)iM_yWR>3iV#&H>~WK7o?Mc3QK0p&k9IjLlu z4KJ#hU}0(q2szWH*hGo544bhBzGEXS&Dz5*X~rz7GeP0n(#C8~sGXY#U9T?3Aq%iPt}X#A zuUhk{owLq-_$w{}?n!b3u7<8aenV-IXcA=8P93~V2b9b&Mq>UJFNl$>N=PUx<(PLS zI4+MEoUqfK(r;m9k zRqDGDr&C3gg`isgi`nTiza5ZG?ZFyWKOlzG6Pj&$c)K+;%)?~f-e=No-$KW zhAlIF2f;h;Q4sq`M^qvv!$$l*rBwA6Z}%yE?x>r-Mg@I0K<~KNCrXBKK-ri&CpafK zC$)%g+j)UJJ;JY(?n7k73~)tOB-l_*?43uC_!+nBdd15HSBl38qos+Dcn}%2#f?#h zu}*FA`CXcng~ML7GRe~R+KbDaep>wOmBs`v;c?_eBYM(L)& zc%K3Ddcl0KnMWXJ>D(4Yx93?FTosnJP-mBiP;UqX)&$GeaKvRc1J_KNfulP$0|!n8 zUJ15=Gjr!dJ(K17g>b1hXn(ZS0zKl`J1k@ubko03x)Rru4>FZ5$7zA0nZ>75P_kHF zQR7VJa*p~|aJNQ_yR#0uCeu}LBr3ekmf~}p`8l`QKG}j?V3$$o$}B|z)qh|@QxjiI z!7N3k=q69;+BM=SS-ZycDX^ff4?EgLcH|>irU<8xlJKnzTEV-`QNV5VlL6KKl^|ak z;~WG9C=kAxf0+dY&G%P4ftomqMhsDFR~rSDT3t*DC?ZaEv0f))Xt21A{lnrUl}C${ z%Twc?nZGE_>Ao2UBJC}mm&8Bn@yQc;&6?P#tUq}NRSn`j$`qlsuQ(3mGzkL%*O5_e&OF(lPPj$pt1okix2cpl^}& zAq!tx6PiuIe3zN6tKtV*iK-%_qh>286*L|9tWHr)%&^9B-%LgSDo;QFhI(NEhBNNW zCs`Z;eSDteI|s_k;nA#EMHb*_kOrT5MuCyI0_C+BkK1*V@N-69fdtKv4US>jALVDq39znv%D2j3q)^6Sq?8sGwC%N0r zoz#mLTs*KoK%7K4pzvZVW*|IRHku2|1;U&gEl#tn3}PC5N#TfT*oFwICZ@+w@H=;e zu!pQ{>>w1X6~uDlP!cdxK+ex_1V}3-EKd?5noFV$lob{4S@$paW|f8PsDX1ULy`6U zH30~s<{<~C61c!XYDR{7DpyC`NcPf2F}!&VIj&1-e(UJ}zyAjpY)BLRu^cat&qXo} zCPZkt0)QQ)9ZSsJMF?EGKwb_bdX&%RrJck;OGbOsP9_5JBObub&oD%cs?4FO_qB$x z$$GmM+3nHk^T_IPdpTbZtLzf};25`8L}(La@VyL*cMwS7WjH=l>masS>Woi>{0-#I zirjI65h_}b6CF)y@K#WFmbOtTX7SKaK%-85A}s1{sY~k3xn+6$Zeo|?{$p1xo>8DQ zu@)2C*E=W`dSZXB0XXj%qR)9jnXCLw?nskW z3zqW8Q@ncY7!RYD1)|Vo1d{nX73L{huri^d6lYlkl;VUThkU2^5-nI6;3T0qv)Xf( zY>}O5YN}$S7T%a2I+ZQFc}QYek@Z|s(S}jwrnT!{L9&o`QLej%a?YI=ODI#V7gf3b za?4zodTHdkRFe%|y-ur66BKe;*zp&s@jBIF8dPf9r&z3RqlO7qksu zSr_f72h(3#@4EGcD*gEe8r~jCUwK zQ5c}hV<^uJO@p5jN*NnU>+ebv`d8+)QE8%Lc~ld??upXgZw_@zgNWK%Woalu-}iZn z`epWIvaXj>u7L#eYvE89D(D|jW8RoyymO+AEDOM)#z@NAhzQ5NSXPPJSCwYZiF!Y| z2-E|ZHw=&=z7CI?*maP5qeSz%DJTA-Y74$w+_5&73XQA=M#KCWvLPx=j3icE>f>>x z6Lbfk&*LuV4u2tv6|dHGVmq#(d`x(oE&}G&ul-nZ*%Zcxt4jl66Y*?yx)nc3-7EDe zBKp8|?FU2m{|Q~jNv6YhS!j}j!{EL_KbXSRnGA4ii?Nx6e3o~JC7PO|mS{e<^gYBWYbC?XiPc zPUnrys{<;{m$V(JwlXV6EEPXtjiDBkQoRp2n)9i|OG@aN5Z4AR1DI+CaJZCPB+R`P zicp*qc%2qN zFBtgGQ$!Fwe@Pfx3jZWK`wf?og2x|}G@vYe@(XmP7f#V=vOpC#;oiTnBsd+@GJXhR zbp6JBiJ0%7zRJik-(L}P^c(AdiL?7v6Wd5VnBZ5TGx(*MRO^=}uOx=DuUj!14(Y@O z;nAL6B3!g3S*r;;ae6l$RX)?b$znip5}nH=A)qj&6mjLJtA-;>VJusl`SntXs%qk( z2q6J>OVr_VZ2LG|e00Tl=zUD@kgv`d81ju4Ygo8h>h1;HFuvC_L=PEYOB)46>0DMP zg)EFxyg%khmfCPLWx(rrxf1x9;o1lLfW!?~HfC}|`kUomcv_s$7xTJQlYedbXVMTp zp~(FrjY<#T{UHE(yab@H&6aEr{M;eBD`|oz0=&wHCr4ZuMvkS^e9CgiRfGm#FT@3P z1s`ykEdv`4qY8GbCZzs!Jc)q*&~xp9;*O4@44jR4>b!N1K$)5`P3+r<1+l|%dB?P~ z58ba?4Hj|G;N&a|7SxNXk%&qnM9L#cDlvvHKk0CIEyU?CxCYsSScJq$odgdV)=A72 z{9`Iu22k?$?{tero}-;;T|CwJ%T&pEeaPr=qeXz2yOT*Dmx}rO(rX>xbda^MkbuA7 zgT=9clN{8Syh(7`bg04BvEd|az1f3Oc6>@?CR;e<6ZL{z!et!X#7Ve)mgUlJ(ZS=+ z#E~Q<m8K_2WG6k3)P$~z7)w4 z`}M*VpXnPz;b)k5)nJ6rVFh%`v0kvGDkE(K`tW$pR!_f8IN+1?0VqatMM9|s6qT^1 zqAliyAnpTIcXU$g@=-OmZEx!vCwp7W{&-klrQ|$jV-Ec~(4=azbt2hhCO;9G@I9*` zY0X?mOliGfS_&;xR@~G=U!m&^BX8UwBeM>;I1U8vgYUZH1D%qQ-qy8Dc=%~W-AJYt z-Ym>uoMj+`ou32RAygp{f%m|FszKo^qUD0)ezG>*1VI|hLlt^Nu>=(!b@Wj%GHyHr zjm@RKMKUV~<)ywvcB$LnR3Irs-V~_5@i=ZnQ*SXA%E2d%R|_jB8ocYrXVQK(7>WBX zbURHRS^!Uu7LBBFQ3 zQJKT%qDC5vT^iC?WL1vaxs@GZz$;kKP4MCjDCT{~52W=ZJL;hFpU%-DYwLb{aXlt~ zAs&gpgmI5xmhu=dsYUlJsb%TT6)B~5NM9Q@1}xnHeM=pr6F>m1GqF zR3W2|(~Y5+vPfWzy|Gq0>d}V5l4UWaBv%-9yby?EnN11YhjIUhJ9UR%rEX+l|MV`|n*QtFw0 zw6Q#tiz+P05Sd{vTU=4~YCPT&*bscGC9eonKw(2S5MW?B4b35hyL}&|wWiX7CnH0^ zq;mGnruwx4lca%e^q(TqtMo5e{3It_1{DD-Y|yf}V7n=biHrayu%K3;ZxipApvFyn z$(&mi&j>+%5zgC!TEwsfwXS&WFjC63XpMybe01|OBP#4(aYiflkk^qU3S82W9+JoU z)UICovWjtuD6(`F$nZMd_$jdQk^x>usgr5~cQ34Z#ItuN zz+&27Ttp-K<vOF=`*3 z7n$le&Zn$_9J9%Ae^I%1*4lk8kal)}?-AEgUOGuC)aL~2r8;y)OvM=Ce#`w6)!NPt zt?l@Wh;4>NAMXYE=~z;Hu_6v7gD`C-T)m7+y}iP@tX|I0Gd0-(!6>Y<-{Tzw*Tjk3 z0t99>>4Z!?Cs<@TFhvGbI;V8rU67G<4@|@a9;HSsOaL_`^TPBO>%7NMlnU*?NUt02 z%uwAjc1^0A+oz5!J=6y|?E(Qm^Dv`Y7Ics1$$dhf^*SQj3CTdT{d zs#X)HX%u33283uLm)q!MyWFg~KQS@0Mezz_ZaH(b>A6bA+h(pG8f9eNu^qV8N_0r0 z^zFjA71CkNN~TgdS&7x$OsK2BoE!!L+lMDG!m6Yx*eDB?J!Y6D-%_N-AqFH?%!=;p z3oB~5g#r{nluftX8!S70Amq38__|ku${E^{L9m@^OV!~@)+CZ z^y#7kK3gr)x9cjQ~Sy}?Gk;!kdj4%-y%aHJRh|z~z^-qC zM3kE^K_8iW#9c`&A5oMkxF>H>mpW=mxK6QZpI4zZiN}hYZVMl7V*$s0I>xiPD*b8I zK|~>AuO+|F=$%`h-#UWQt}WpQGSvX%&Up@U=vt5UVu7A2Z*qq}uNNk$eL4aS31ul7 zGXf2N`TKAG{8cZ$lM``zi?@``E)phR82M}h58=m+L<%HS%P|QDq#xUv8tyMyM)69z z3D8P(eWjJ8Bu3go0<9((hGmtNDXWFTsnbjT#5>?RbrP=kNyK|n3;X~ID!_2g0u26# zp>SzQ+>{+zN!(HwY`4zK?j@wy4#>-`qQC6$44wd~L3$cd!K!{+!R-Wxn6f4P#Nl0( zO>siuuFp+gm%sjlO)69UoSEw9sxooLm)kc9lE)^sY!NBB|0<5^f>Wi+6KSo!^0k$A z<)4JlS?4K}%r5UpHr>GL3vy)^7Lw%M;uq8Yk`e8av`feZh!q#0R`Z@3qEK^L&lRPs z;uUPo+M6hX_JY#+SdrU1Rd|D-D#N1S8-&k#-|{T#C*SahAjSKNu3ww))llBI{Vy!sH=CNz5=ZTzyep&+$V0d z5okkL&H4<&J0-W){mc@q072R`eh*S-M4i1D4qWP!W=;bGZtg+t8LX0bMZK+>H^y{S z%}+BSSQMuMXPL_IF+Izqx+MpMGAg~tdO1=-v1*Oq{@B{mE;9lcVEOZ4P(ji%4K9Vu!*TUfb~i(&$B;TT^w zqY_;R70+Tmk;8zSJv;*+QcFq(U(cE5{S@ahwS;GtY(b7j$`&kSLwdHB0oCTd+4+>r zgIl1#EK~L?%v%vw9i%G7B4ylB;9r4K*hQ4DA_t3DhJKn_jEG?TwB{SmE?eX@Aly$+ zua6f4^8&^CHIN*N=2h0(J8WoTESP-nyf^yT zPD%${9!wL|5y1)eCCSFiCJ-8d>6`feZ6c5#+)EL=Ke+E>efc5xSTz zkWK@ZP$%Y!8I~IzA##rBz7YIU{1-wfy59(RA!viJtd2i+@74uvGfe9%M>T=Q_MbpAeN{) zR^=v&?5MHu3hOW<)DIeCSTiW7PDx0#;3}s0xd}6kR@&Q4BcUK=m#W2SNfg&PLV-*7 zC}R{xHch#N^jjDt3kuI}kmR0OXBhXkd+)*Ul8STzx>^y}y36D&Ld?5%szrb=DMO2< zEquv%g8sSG@)M?U$qEoa$cg2MIJP?gY(SI0RH^hN{pEqcMRW-O-Y_ydQnxsv4z1!c zao-d`#3(a%D8^4@9h@~+&kuA=gSE!u%}iBx&X>{5bm`YHBVkZeKqktW*EK9LuZ!t} zI|@re#@vZb&i^4HRHE!T^M#+wazhcdC1XA@C3hJU0wu+!+>_E?RlN?=uISEqGy=La z9DMo#F`gxd2^*y!6~dAohy_-%rU*TMPyJ1#rpzP~>?9}V&vipPLJJ$JzRV_vlZas) zh*F_4s#eG%Qd>1bIsUFB`+&O@R9)oO=smjiRz38aE4)TJnCiQ-MNtt=&}GM*Af&-n zVaxbBbJft9WJm+$WZ!8XVGUOhQ+;S+r<&MbU&D|@d9sdv$~P05`+#1NlV)L=VDZRD z3)Ns>Y=FWPvAmA3E@vBb&el^!jP8*ik=Xr;hwZ9E&-V3wNN|;=7^1$&(z$a4s!INSwG zpDps=T(@CB)M80$ru#fb(6TcJHbB1rAYI+s`8w{06pu=eg&Qp(BaR)CP1$^pbe8;9 zE)QjQM^ODz+0#w>Avskodz*$Kr&UGi{M7YLxe_~n890%9Y_AQ#hnFebJK<&G8nQ=e z7%SgZbakW+>({n9S$yJwW5xo2Ix~7z$PJ2q1wey&#>2W`7&T;*k;6{L^2~NzN8BxX zBW6WNrAV4C;a;M+Zu|(~_XJ;2u%6NjUak5q7$Z>M@h^!)J0u|KuiOEpo`HMKsXWR2*(iwEWeq2WrfJ*fb$e`;Z;9mQCFwkrc&A@C>vQ|{eoDn{b<|Y@HDni8aoydl^h(BOAe67W%C2#+$`Y zN@L~ZtFU%-?oWV9rx+fOJ+~z@0J8>c6lMH8Mbuicmt;lAGihVr;(beG6E{_g>DA&pcj@|AJnSg zJ9JV<@I$(YmCLwEw-z&T)Ah0#Xv_2yx!nvRxwMnTrF*l1C^^IDAd)BSlJO<}he4Dq zS8S5}q>NTq0;V%d0uf}pxAB~PFr~qh_hnygSPjPwY|br#X$4m52CBkNiWjJYD|ZMT zPw$9vKe3=&+DRIL&ROB$;zsNBKq3;?o7#lxyU;~&TnUvm5nBn9O{+!rSyqunLLXf7)fNh!#eh(vn6uzZe4B%gewbT zp+s}h8YPNK@Pnu?V7Wuqkg#)oamunKT+;o2UA6=&1-5uIi0KNdBdG*o9$BqcXA<21 zB3>T*^K$c~L~9gv+di*AY5|91#*L+j7ON?zLIcruBHMKC=T!HBEUlI4?~kJ1A-*Y= zrSc9CnI+MrjY{%U`5uK+enp3+2*b*^StzLpK?rdn-KtoE_9j8Nk?#^hgyNM)bH5@=0fq#wl;UVxcab5r%2;3!G56=_UP^E4^A8JGM9} zh=50eBim4cwAbsd7$4`0Y8^#>ulbr7y*YhTv(UhW993sR>7#h_7A{ASCOqKtbt^#h zQe{aCmm}vt-xXouDMrV_^U|{Lijk;=8$C>TBfmZZ`mf96A@RE>BkUMgqr+nL$xbKCW%@hX~+YW58Z3&%c$@9nOA0wMUYZ-tUISelW>Ok(hG9P zt+Y50rV!Mr;!j};)}0{($QFFq8=*X=M6?*EqAUqKFt4?usLU4mlDc&=ynpii#6Y^Yb)`T-{S@j2D?^>^}0ba zN)^x7D-`RUEHa2io&)ogDr5_sXeNqyB9=)edL5)A)gu(Yl~ST)`;lbx7`)*e7b#RA znO%PWB3-0qUn?E8tUvOXl=bJST(Y$OsD7MMcsMZ4ZDlM7+nsE-GbVRpjC6<2M9wXy zEc^(uF~qo}{q#;Um^&d?&~)&Nok*E8ujW4$v*%-CU2^(yOjbqWl_R%lPb@Y_1#64Cy8l<3DUB8__zeKmaZPRtD=VX(cRZUu<03!L_2} z*PAqi*{pkxZ?^6^#TBe8cJ!2V5z@NSt$W6g5xD%JdPyhCsTJrf#tP1CauqPUw4e!l zSA$(+zXS(1RII3X;u9KY!c5rJ)CzFgYf z?y1spHWx{m<)SMCpb$m`20{~LOrTt4tscCY#O1kR^`IPhULF}XhYf@nuvrm9AcuG& zk2|+eRt0?jlJ7bgzCi#a>t0jVZPx}H9rEJ^lJY#AtP0w=kHJj*zwD$0`N8@uxtey3 zYov_RvULLl`X{9aM2l7GMW-cfVnx!MO)|QkLrW~nVtRtTZI$T@LH3JnOPsS&@ef_4 zK2b`rw!OgzbK4umZ|1hiR+{Ay6<0!Va_OXwSqV3%mC~kr=?AtT2-`%*tL6<&6~qaP zn^6f*s_v0K%lsQUuZ-K>9ibIAugp0UM^fzHhzRN?Yvtj}QWUmHrO>WAevfA3-Uy^! zqMwwCFz^Kqo+IGsOCytCk-e6Tx+E1j22+~b^iV)ba~pZCz72(95TG>s7jQ+aGg?^y zT6Gv7#GGKkSUhx}Rt7XT36LGdVoEC^OV*@4PZA9GBWua^><3D=&`ozD7R_{ro|~pS zleCD8Sao?1KLQ)|8?XXOFQwIJ-&jD zd>JnJa~vpay~+PhEiaG#YZ`86j@*%^`g{Iql8GCOV){MHh{-OpK(LoEW2LC}= zzC)2A={U?gUu;s6-7O`%CEtiTr1ewOp^@rKtjg!aG0Os<%W_Y*&XF3MoYU{`Sx3E$ z3NHNf$N&2Emw*2J)1UwOXBS=DQZslgyiNuXOmk~ynrmi#Tr>NT!<^Y4`^+1qk=M*=Fw^O% zHF`fOa$G1xigrQIt={`VB-QGTK3zFY+l5dn8GJx3wFa^xP7gc~dg`_*T2D2V@Bv3^L%D9WcJ#%$naf3VK6|*5NL9g;w8P+`#uQ zsgogbq)r>wzJc|eO?fEe>|v`r$Jef50gj&*1B0k51(-FeXuFDc5@H%F<#wEM)vqHmLRg8pqs5N>cOASz%8_H4V zOB~hy0IULTYU3gYbK{#Nw!U!)L~Yyzq&DtIjjD2@k7MJeE-B(eFG6f^bBmB@Gj#|? zhD59B7=*1pUan8&4-_@Yl#nl}iOh{I3kNk>BAto{%UJ}WgqqkJnv_ifd;n$#Y8DTN z^k4?ISvUB#09S@oqkziYCVCVfDuk#CtM^JqzB&*Y`(D`eYfO7?=!~yV)|DQG-htU-)H-aDLoQiSrHg!|Zfy;kv>G(kENH4Vrb0tmM1&|` zZ;>Na%x`YdtwB<-P1SFGtA)#fR3;JwpSkCS&p`*)`xDSPO$P;0t53I`AT>3eF23#| z1jZMCXW;TS*2R~(@b|O{*>SgeTU`HGVg#lH1(NIHr;Cw`Z>Ad8P@yeYTBS;A`@5o~ zU;-X$^m$}lA3X~EPn{!;T=oPbb%_z@VJ4k_+IbCE~a>2n30yoj||`u)UQ`kz*%;0LI_YGvu+@(q`Qx z1BE%3xq<7F=7zm-4}zZrf|%+mAfp0DRaQ-R$2TfZ1%Q`cE;mlGShvO*6XLaY&S-|J zX5wCUsBXOPwV=wSch**}RQgfoUIi%&^IJ+4cb?F3U6?#a+f}nh3nw=+arF!10e4O$ zN5&EEDSC|=8h2d2+bN&i@X@8jQAEUo{0*eQ3$DCH*xgG3LQua`wmPER^lJ4WFlF%2 z*l^jG0=^f?%B&uKak)m6H3w1OeF?e!_5q>5(L59F@;I z)l}9<_o6zCq}J#y9?^Pt!mHMy_aKfk(p&d4IRX^$5kk?Vv(ynPmU@vpwFbG9 z8>^AKXRtC;v;c?=`bQeQiv zT+z3MPJyb*7Ld8M9QD|pjQtW@&`a-WGtfb?r?eM5R79<81!_%O!M&mLc2mAOF}*pP z#g5Z1#j*v&4qv4}UTTZDYJmqvUlyql#^dldbcjOjZAp~-0HZr6NY|G&mc`wUAIPW z>_-dk664exj8|*m_?e8X>Wwkqb{IIHn366%_e8DnTlqWJZ{g_Onj||!l`1ne))R_( zF;|Thvs}UxF*(J@(5B-mZtKxFsiYRSU_26hQe2Ag!@f)f8`f2X1%?_QgjJlS6e>v% z4y>dluEouji_p?!<0#@<#Zkny@X%u6aSPPRCrcm#;>(@}B9In?A_Cvi4dkxg(zDlz zz!tSbO$1cqCcN}4UG#jg{?2eSC?9BIaPsBL!z2*i^-n;G4Jti^BBctJm5A@Y&dF%c znK~!un4UHkT7p_?O|+~aXpjYC=ir4hjn_TOI4ZO3+R}yx+Cm-Mkxiu(1ouz#gT;P+G+3&hfU5cUZk|x6+{KXw7S`6r#H3m(rRzWVI%ebggM43^U7OyR{4sZl=M(twG*Zc6l(X zBJXaE15h1<)|>xM@ToPH$$jtiC+?9{8Ig#o0K8=E`Gx|ZIEgL&++6`cTdI&HsDS*? zr@Z&K(5s=IK>#ULLGg&a4|M48n6c93j8M=OlkC{ATa(~E57u^+c^b>KBn!ao zV7NM8G7<*`8U>h-u1@(npXKYUwcuG^atH|rC5MnTQOQnfS-b+Cv<_g|bBp92pHkPUwhAgg752{J{AJTppU zWsV4BWwilhWwik;Ml*rz+RK??Z(2F%q$!mj)xa%VlYl!}4Z!{0LCX#Gtb);F)eGrZ zbs-(|IbhwhV-}{Mp1<8!wLGs=!hi1eAQ-dG5{$oGr>y4BD%ConTUelVCKfQCERD@} zNa+Bei;(U>9B(gqO75?C%35bKm9N(+0x?HBRn`LCx1I08| zhP~phvR0v6hl5wT@wX0M_J(KL8xmc2?z5j`B|2>^Fahd%3}S?^040rQF>SS~n6}vV z%3;&0OV!e&H&K7tzy|6Grc)&uY{~i`fGJB?Ec9?zz zQB(CK@3>|cZh2+QK5&cKzlU355U+Ozq1lTlGy4kRu1FIO5M;8Y7M7@Rxrsh!g<5xa)FhJi`L2}imsmUP*`78EIunDYUXe zY|SnVdDQF!Lzw+r7~=GlS2E7L|4;IP8eH(57F@XS4s}QRz7x%p3DJ@-9h#ae6D~!Z z^c$UGo!`PGXFI);?&tY&{aZS~1}{%cmd>lfA$9%h%{S@F6=kK?LG;)qvF~A)^ITp> zN*{zNIg$udrD2IOIY8g$tu2b(3cOlO9;ccW!4V$^$7iMA!!*(QSJk*3D_v*JN)6E@ zsE#i2@!q3Ii3Hr_vIWvztnWT%mi9d~6m@?kbw3<$TUrJLV?(6XXniC(;&JxM6p`bj zXJz50TPyn>;)#O4%ET2b3nE60Bu)?!F-OV=5wlvfcXq_RcpwCo)`5s&_x>KLiJZTZ zoG)h0T9)v`T1xm~E#}UwOtTYkEwRjN$?CpdsI-?{_JO9c(C=Xylph`bL!g!PqlKpQ zBcMs@h3RT7vd_rJp|uPO$6CIJT2TJmDJg%G#J0%MiJZ@T5k!rsk5(xY)-(aAffo8< zEL14Tj=a!8;#lanFik=8gbvMx1}QE^04Xl47b#ZUKQ~Z{zs_2OIg?`-x3Exg@3&L3jDAC?o2Gmo$SUO8t(-E$e#KMV|2$)GMAHoO01*b#6XE|>KpGwOze74s^=d2Z} zAYPuM9s{pBvMN)Q`Df0n*DGV2wrtSPm;}C`# zTnAxP+%WR2=O+1%d>sk4{#uE5dUrO90lRjE-ZV;jz*1$>xO{fhh*t<;5*Hs3Y4%)&*CjbHM3Nk6TGbUu_~d(0u1u|koOuu9-nKYi>{M+C;WJ0+ z@`w3Gmwxa2zOGT+_Zn^ifgd}vlDUb_lT}qYovN@RZBSy{en~5`&P;W~v*8rzj{zj1 z_LlNlLmA|PML6KjgK+F)Mp!Fk+eRtGGRU^HQrRE#M)g-uT&sAL|79>=!QxGnK9(_G z6(yiKq8f%|r#UK4+n%_^Ijctqfe78BT9*N`pU6t}95q@?%8;0GD>35$kwO_DvQUP3 z%^~v0DsNspJkd)sSzf0mlesJ)h#izth3yoOnV;?00RnhKiXs=T`FV=m@151k38cRB< z1td!jgYVf!n|M*>vwYGbvmOcUKgevOF`d-1%_W9uV@sIT!AmNO;2Hs?q%vc0(pq7# zoHyKl*5%MI#+3j~;kZ*$8Sf^)9IcP&&th7QsSi6|W;%L;AgL3v{j2``_8A1kPIt zoQovrK$`{Paln6e>hvg0F+Wm$eb`%)lT zc=8EIBrM%aSel5?3d0B_jRy4g07Te|2uJ`K#c_zhb_}giKFB6l@#(5-?dgN9#S#mI2NI&^yXsevbYb$lTW8*XaV9O^OK4aVR|K1)YzAdwu&Mfb*w>H=|Tk{$DRH7JqRLPFwRnN#9 z700S4E7<6~{_Ha^U2Qb)Ty8Y7y}i+@80V(k6$|rI-1jd<8oZ09Cod2sZTJL4lII>J z&$SR1K$Mp|K-4ccASyO4E+UthMYf6-*GWFC-9V_c{mZ&+hL`L8nK!;7(!(U>ddO-J zEM?Z|uxx{+jcAdLA@c)~uVsGwzaJ&*CAr(rABI>LeY-4Ep-h1X5q{N~OP(x&KIAd0 zq7e`r&uny&*43LR5eoDj1X1PF6d@s+;DsD)g%2M3STsT3b#asPDYWBj4ln8M@n#A$ z5EfAY2$Lu%XGYnoiOo)H$xF}1wDsWfUaPJBvDQjjQR{Yk?M%%;wmnM~g<{(y8vnHj zf{&zZ0h_$mH{RpWvcpfEPc^t|To#r55F+;QKaRnxffYqc1du^W=Yn_*@WW0YLj{blA<+q>zYG1m`JP3E!%UcxeU2%KFiI2=qy#p=_ zCajacV9Fh}4O9lA`;0a?`FyF2E|nt{f4N)Lm1M0SHQl$mFq52x(W9L0)k9GfuV&MG zRQX#QI*F`mQzRoLR?L5J+|zh~ng)${^C8;6m*C9tGMh zU5(Yy#pd|@5m!=IHt6qzys~k8n*mwNb00aO18p{rpuGUPsK{~O{rQOcr7}X`q2vnE$1QRlsKnW@+ZV1_x5Y2@B zL}{pl6`WyQ+QQ+2k;U}@I%FjR)RjerNfAIon-v8!)F{`CW+Sk0SF2R4TuE||!B>rT zIK>dpi!fi_$Ye-F$qnQY>2Oq+hsyWUM3zdUQrlm&xe;knLjh8lXsU}J@NHdMoEg@_e3ehFaro-IqP7qqM;&lP!Fr|a z^@m^n>yN+v?dQL#TJok9~|E=O5=k{@cI*X(!;760%}P!;|FKus5s$`6h&F zH7dM@VoN)T;WbA{%S$aPgj0k6p_XmL8W}qUoAyYVZk3QWkJPnoCZ`>2G2gWbF9VLcC3Y#3EVB#qH69*;Z~{b zzgQQ6$yAA%WmiD?Sz7c50MFxJxUvZ~tM*o`T3_|4%X79cT%WzwhTVPw2G& z-!DI)(`e-Zqsxk}*f0>Zs#oSh-`na$I%cU-3YMyX2`=7wtIp zC%V)#)oYYxTCh8La`mf2?n#8NFesBqk9mYFgcYG4^N^iFXC||@o`uO;ps^psUF_mozl_Eeen-=hA}NvJ z6CCO~zfV$EU0jqTM<`gjyrYV7J+Wzte1`lXG{{XaOj6E%0*b9x?416BXd`i;bi(t} z31v~N=sE)u8)8U-fVnQdQz=edoRl#yS&E|+0xDaZ55{ybggX4{;$q(GFI#LZWMyIq zC13L+B`#ee=eRx(=B+WEgB8jP)0S8yH;AoAH3521s-mP`h|?#G5FD0kn`GYMJS8t? zYGGXR`5cwX?_qez-=G908Lu8n=SiJG@}fPCK~0bsXDFydN^W1^1U*)S3-812lfJ^+ zozzH%*(|F_5*Cn+^7(reaB~!P%sILdK#G@(>R|IQ6i=f#Ee9FqNYD?9@ z>7sEL1Y5%qa!T%Lk|5rv*zralehF&-rN_8rw_6|0f_km|BNOMoeQ542YJ zBNU@v7*hw87Sf}w!OgUs0v^8*@X{oJJpTqfyBlh!8Kf&@+;LQ1YqiX&BL!YR*A3`T z={$Hn!eYBoQ6AC40Z9W6nlj+^xe#I()g#u7hXz>Ai|TNLX$W|F!72#8aDAYyZUbZ1 z;YOZDQU&!e&2NLO2n8&K2dIRMVW<%0n-6ShJmoEklhsYR^{kRRN)mLEgC}xc5(s#U zh{40*NnyStV(bv60Le)r#$`omsOG|@9vYP0y&f98P;?=lomJf|hp+_9RE5hHwWO0+ z!9-l)AN!tEdTW{;)CDl6mqL%mCm2<|OXQ;sFijf@=ffl$gcQoU{HS`$z}h2pRbtNw znoy-e3{2)OSWaZb%PmmR+4^f*nJ~vzkQT*VZNW_23&z9ZRve~(9kSqAcDVTY4)=ag z|M&}uc%06DB$x|*Iwk%|My|uLj9hO5b1Tk@Y6QRb<}EUKHr^ESNvNcF zG${r_RAeq1w?QU#*_TpKPzXb6e)@~d-TMkIAza8Ho;I4*BlN@SQcj5K;@jJYwF#ry z;>(<1`*hf>c-KsDPj54D%;muWu2O{T|;(~ucSl)#goBz zx&Ml#4D!}oiUax3s86Y)FBLi7wY9b2sf@mSWD^C}wU_mY3ofzj(Jy$KD&A&&is+DD zbjm=MOM9M`l~!R9RL}}wL;i4seQuPEP`FZHnKJ+EAWs^n0ScsPyJ zebQKy#D4;89dT0l0qpkdyJM7#|6+D9vEj%CZEVB!RyM14$@&hbMk);KPAc4^kqX1B z{FO>9>14v7Z)Cz_meo<9Z)CzJ)7^%Kzii$e{s*PujDo$9gT1~{`DJo#rsT~0MrMwYCh zWc43I*);vhP`4T?nRE}pgah}X2yOdxDB-AvN>00mQugZ@ir^U}mXC&7i%MR)Mv@TL zN76BVHqu&CQpPos;`_#$$c@zYe)+FI{xnUY*Yl6(kLMq;4p**9GqF8;0u%UTeM*hH z3BRbqNoo@AcRKD!xzoN~xT#b`@t88FD+4Cf!JTye^viGm`0Jnl_fNn6@;`t6^>ZnG z-+`@vZMV;-pIBVG-D$-OnC!CccgH2@qey)l_;3wQR9|&8!bhXuJ|DfJfXl+H(Op&p zEAj?!$|5v-E0P!F0-$t5z25`twLM4z?!H@+htogw!K&_Gtm?>AV^uuF4B_&su$;+u z&8qBvyQ;P5)&hGHt{ofR7xL@RKmGXAzy3e}=YL#xg-6wy z9q?GoFg$V@f=4X_c*q^W%`9K-W~q$ljyFOFiapkWFn6++=M-PunV}os?zK{0h$E(YNzik?ZyQJ|{iaHW;8_ zrNz& zL?S)C*Y8WLSwa8u$WG~6H4)L6gPTEe2QCu7%I_yxLk zxa0hFTwND@%dPR4?MUa)qpr68<&?y;*q9f;x>Dl8cG$Q?SDsX>!qCwtDI@E`veJo` zo~2yNCiSEfExqQr){Sl6yu>~QJxsYi@2ZSV<#}RP9I1ZG6QCjm1#^bMGg{|$9<9>^ z{eJvKFI5%|usqT+f++p9op^n+FyaDt>?oxPbWX{5E*)o(tbNG+^IXql-nc3 z1o~Wn0gd!IT#ZJf_BojhExkkD*_HX0zV+jl2e&9zGKm*R%=TysS zl%;;Ka$kiwI`^1CcryUR54?zgA+IPe0P%{l0aPO#WfJbRku$J|7pd0>Nc2L^Q*E*0EU;cI&*Jj?s!$Vy=q1oihBK0>>L()FWL7#;QRI;SQ>&Baa<4E;; z--eiiCkxk(c+#*hKwoP^pjH2|UPqiL!#>6v^WL*eq;Y+&y!`0$VZ!ZKL=a`(ogX|E zuI7cqjy4dH+81kGL}w0E*5|pdM>B8f-R1+X>S^1;RlQxhaFyo3RgQMe&*y=s`usM3 zXVH4ybiti~?arS4xdHx?>kYzqC23==S5G#q_0k;c<(<|x-1T4?)8~OFoSOOD!qlk! zn2OdFLC7M|7Pu?q2r16UI|k(ko#E((%j%-%06N3*=UO$`?MabVPYR;J-SvW5hp2i~DQ_bOZW={4K_T_N zSeYBtl&+E-fe_3>A-?*2%VK=AtZ7B5j(OF0Y9)l|?0Tc({P_#wnr$<$H5XqB*_8(k zYZ_v(mr32NB^J}{H`~7*AYsDJv>rqtui+J-Ovhka{yautOGPX%bC_fSirRUEx;W*V(;-Du4(eUbXbT|-^t0)!Ld z!Q&?#LzB$LLNxfehDu0ngj6AKYXm~xf{?DSwK`PMX}4#X&VH3MTR(70ZDZd4T(j+L zGO|4K7%(3D2eE(3_Rby9#Z%TM+cne^gu`U1_hJW3A!2h(x^!}>9*2wfI*>~}8k^+O zFQl&5aA;5rAB%&tbTLk^`8KpjX*+dCeI6sg ztvo`UBb027ponF4yotd+RqP>$*q1pOtpDf7pMU!4S5@!dDz>$PP95=457Q7V7ZJ_@ zUJO^{c%0Ku0=OI)DM?LSc&7yyRg93W(5ZG|DZ?H$@(%QS6`bM+HHYgE7Ad+wz{#$w(h#b;Cao!~@ z$Qz=6B~K$Bpl@1TG;jK=?Q_(>0@)!&^&|NlTlR{5j(y=Ck{)f+>LC}5pBh=%xkZ|8 zURa36h*qUbfl{8kRsu+lyah_T`D#AC9+3NTICue0wT>6;FOiK=;>>Hn{uTwDJMKBX znKM^a9`m?79JvHoWHoR*>PN^<=V4?MKnmWJg*J;!seIj2lM*K z62M%Safrf0MZuC?E4<@w#{+LWbq%T+BoR+uhsfPHTsv>aRrA&8zTGvtd}n=hxz_q& z8DnE~JKo;*=M;`K0LmFMVqXbn6>`Y1-GceU;%2PAR?IXH&C1|4d6=_j`1YT$9NXTCzUxJR%!8ENS=g%6y-tf z=wd{NC(E(55S?by2qgD0osuZ^h(%6^sBKs>mW4QyY?d6dP2!8NwKl9*{RZJBGvKx# zIB6{Y3wC@z*>elaxYHr?I}Z9vQROc(y%$!>(!Q|rsR&KFWwsUm`C5@aH>|YxK7rt* zpPrrRSb~;9tL6Ggl5XQ1F^m$9nA%6&v#j-*?I1&an=+_Y3LSi&2P(_G*t$oMX})a< z|JeF4k5tZ`hA%EXcNiYT2z-$*XW@&Q2`YR(wQltr*F{dBz-2phsxtyqzZd#ES0Q&! zfI!}u{IQ)iEo;!VdkV+MCNYlXfk?d9zk+=$OQwE+c;A`3#QOm>DVY6CP%zg3(pcj# zrFzy!?A3<^Jx7COMHF6QE4 zlaXC}*jQyK_g@yl{8tb{6z0`8=nT-Mx3gH1^(Qu8PakK`tZcVkUPis=@hX z9<2r0`?)5X1ewj|?_{8_-(kNW6Y{x}YR+syb)7MSe8**x?YTYijbIYe)7z}M&0r9G zo<9~J%IoO&gz7q~*^SsWu#DL4p6l}*7?yY7X0kJN2hIX(opUUd&mW8zKbFC{evCbO z{Fu-4$70DTjmZ_#c1bhQ3oWf-U!Yd^s4Ogz<4n>+Od~sY!=t)b%l`8?LB zSjmBgWqo}?*UG##r`QVc^J!=vMlLYq1+^7$RKdA$^3y7qWW zAja5yJ|NzH`gy2|U>R3lU;Dw*rBMF4;azZVUHXu0U>}m( zwBMZVpkd2%pnrv^5BWQ82?0A*45eu-6+`1+mWm;Ieb_SzV!KpD+_?TiWVOK<9wY3* zYsm_=4)`c;dfnZmSFFfG5qZL2$~B68aQ+3ed1tw@eXr?6K>>05I(NX3)|gAu#(Sc^+*Hbq@#yiz;8Xq6m(e|#fR(wv?RKUnDRN>rxC zG>{yz4wTO$KG1W2HN#wZ{y6f`Cw_y2Qg`NEO-#Cw&;86I%q5**GBk<~hbpGx2mP>I zJz;$u3)4rbOl1Uv(<=2f)k2xpt6Bl}tp0MTK3^*Z9LuH&f9?QdsR^5Cx+s$`i)qsu+ z!HxaM(mSC`m${++`&48JdaoZTioEYvA@N0TREckC+(VdAGd1om%oL{BWy&~Mx$U`1 zOp)1M{|em)WBOW_-n8jTUNM@R9emVgDZptopZgJyadH^mW(DEq$G4EM0DD}tXLAsRrk}1 zJci-GtQUdL0=M}a^-x`<{0n5oGbIHR$=kP*Au1+{v6bqf?PR4z5yh&5rzb+x(^?8&;liVs_ecdBCf+SgSf3gpg!RtGYhkg_6 zeCRkuk8zdcfGD`(pYVwxfp8(LcbT-%nIQ%UW_o=u#7wQvL*wsTARYr?;;MK#yW3f?17iiQFP8}W;qbk}!BZh3M$e@Kn`|uk{z7P{pLmZaaVD zeWz3^yKl~EyRXi?gm2QJ+L>E9>Lbdq+Rk12QH_ZDM8>%r;&fuokrtF@+&d3uY7o( z5_54YIo7iqakYQ1G_17IUp8Fr-FRRN@7p3xOY1Kf03~-6e69iGP*xo3m20}8?kslc zNUu2u8f{Z{thVOwQD{4XvcCJa$Pw;uYj)eVP0u+bcICcpS)B{5@H*M*oTDk*WXZx| zd0s$FVY6V|?walMFt{|($}82`m%UQ;17&6}{ugeoT>Jf+rGIKT5`vZt7XH_c;`Hb; zn0>GV$LUfs*n_Ml%Z|e*zhuX7yst$Cxe2+^9{aVUYbqagV###^X7;$QBfufM03Pnz zBYkQVGz>Sn9@X<{)YFEWv0*uxq;ln7a54#J;y3mq#gOtNiMYjtawENsC;}PhWI3>ETianm|y}Z9^oRR{JjO&tQOJ{tZzaH?09mdN>+G6pFeAh&baq*;lTh4*rPB zY`pR*WY^-c#~UST1D8{^jUj9ZD_ka>L-Z!_K!&il8APz+#yMPbom3!SI-M1HT_>dq z1Nka^43~vR>Pllw6R)fbgHfUJN(Te98=)S98_LD+Taa`P*KjRFl|BH2_Zcw)xeMY8 zI_p($tzC`l(M^yt8HI7tDEXp_U%&QVdMY-;%8%XIkQX(N9xsWdSwaaSQ)V|NPC z>Qw12xepn?+*7iH$&6X5hVsA`>4@mjwmtRe>~Y-IOYK>#hFd!(?RWEv(CAWXXT)|wuFi) zF?k{Tt7DNu>gF9e2Pa99OW|BOfJy)~BJQxgS|5&N!E;20%`9qy+6vQkKm*eqG})Md zBO~hsF1difCWTZ^CO}w=N=zV*JcTlYh7%d53mrgk1YB;B9}F6<2)MTyI*=Wkmjfup zZm^j*Agk)Z!m@~&t5Yf<2ftU$+@zn-ttKxxlqUz!sWy2*aYApDaGbK@Na~bdd2324 zKiH8(t_8y+iVEe)0aQ(B5X8sZ4xV8O$TiC6Q#(NBf|h4^78Pj<&E!JDs6=>dCQ>w` zlKnSO+?017O>?n73bL5~B>pP)uyg63?l^17!s|^8hk> zMg2ltSCMr!s8Yq6A!)re#Sqf=ac;>3>E|c8-L#N4RmeF48dRh;y(O^HF`1qiheao6 zw6#nR9k%I@FMRAPj3M>4UI$@uc57r`S?5)rJl!!;!6JmEx+ob@QLRp(>ZRgrzSonq zTXpC#l(jy$rSDopa8H@z>k$KerIP$c0D<0i(bIVZtLbqNofQkAHRq9ixaPr>JJA7C znIlCPF!Mlk`cfVTC2m$QYg?>ebpAC4Cf!}~<8&qGBM)Q*> zFlRZ~`Ms_*t@(Rdobvbf=WGAj8vD0jxAl%=0Mnd~Hhbf;9cu$N&Im}@C@zA(D3j<+ znrpWFo%IQtNnzl7&QMR^E_}#+5~8Zmqj|bOptzEvOA=x-s7rrQc>K(H0=yND;;e3g z2iv&cf9U8TF{|)Sa+G>Q=N)0&!6UhB+LP7Ef!A zC_Bs;k>A&dwxrZ{RNQ6!UY3>Gj)L{8x8D9d%+`+qDXZOpx3x(on>PSKKX(Jp9FYRS zzoAgzs5xaJl+f>pP?j<0Y{WQ7bxNYII3v*$7>hkwofyk;RrB**50NAH>VX#rGD02Y zCe&)6oKBo?t3eR?U^Q*;Qs?^mR8qZFw_+i)VvPne z@y=ohaIX>B+>P@yIcF0KqhwqB#VrL4^ z@NZwyn!i=3#{%q9`MEm^Eop!~V2eXd)-Bv|X9>G@#g03R|FLZxzf}{U0UaEw@rKvj`it$)EellE<+UP=!*dSVqiYVn`?2G|a@nGX?0c0bGx=dy!yA0<*{l)e z*_2%W%nETS*Q+&FV=rg>ZHjc9yPvWgGhz517--XWtlv3o^?TdoJ0{3(QnM-4wID@n zLP|!Gbs7{-sIzlP^WJ+SGGVOEMajve$n(R#+FsJ3jQOB247PM4B*ucBT60Ej?ExD6 zzDF9`|1F3}s>FmdAR>QwAHyzM*1!(sfL&{#HP27(+J#LxWK*JbqEF7P);vu)XV+=q zOs!ii*=DR%&ee8yjmg?|G<)MIdOHo@l)O85!x!%0yT7PN|=CjC7=yFE2s9i?pP>a>i>%uVZn$+$z` zMq6j2G{ga$I}Xs=t&-?E7TUQ~IHi)JwHsOo3Wc6rqhTIh7)FE1{wTD zCbV0B43sWx2|o0+adSJs5;pQN9hW6QCMs@BW75v2EAU+u;KODF|vW7 zUMPWeNM2#OY`Hcw9?n6p5f7)YwrD4>$Lg0MdoCUiZl#k_>j(Byrs}WfD+Is# z!DYeE+(B<<29ol5EfBQS6Ka=+l$rPUB~or6Wxf)2jY9T;lsE`nkqo5tX(Y7wzw2>$ z!3N`dMG4->wHRTYt{A1*EKWl*&FMl7*aSb|RD5JPwva>XeC1Zx6Py_zftcLmDK&*& z!i*ypyfHN)x(nWq3=6dwM8Hc4JwPAq%jpf>z&_{S7?}a;AyjFb zo7YsYnCiUhEb_JB7mr!8aZ=q;@HY9;@sl{}6wYkDQ;e7;yK5dneaXeA=h{&ZRiDOQpx z!wN@peiP@rmrvgNeEoRoz0Z3(_YUuU;{IZ%iVk%tM>R+aQ*I7TYEBtk zuV{&_tEMrj&k$I$v{)6-t1klURqdFk7dij(U;pFp|J>;-kDBgSM`o87wJIyCl#`gL zyhiLf?$F-Y;_>zXz)toTuiG!p=Tq^n;Xd8*`BYCgpHF4X&F_Bhm*%q}ximP-jyOk_ zk(_WcNZszj$_;Ozi-1==9wa}G?wX_!2(sf|1u5)4S z=Zg}QjwJH=a&lNA?ZP!ROcQ0jRjUFw+`^V4qq~|Bv|&gQ5nM?7Io;9=(%|gdL&#d# z#978noc(;CclMbiW1%d=EtF-Sg|ga9p=|7|imqa^D3sO5g|ajU%Cf{l+0WPbV2y9@ z;`%HKV_72@t2v9bdU-`!X-?9rCHy{)?y^5mkbj5{g`+GXaa0p893`Y<;V7+zqgvFU ztO^kSeH?wVs3bQBa$h(Kxi1`rh%dqlfnS7G^7JCCbRC3svEDCnRBw}$uz!5S-3yyI z%9y{8qc8TE54kTKWw?c-?6YuGe64WQ{B>3Nz${ugs*ejtl}FfdRJ`|{tp4=NfBy2% z-+un<&wu%+Un++GKaz@_*L&=D&NxjL&nqEBVY1j8Cu=g;yI#acskLwCa>6)JtuusI zO4*fnw+Th_1KH1L?N?w|6cBIKMDWHMdp_eOM_zrXC-y>8X_%eO?GrphB9=z*>E;kD z9!U+)`mfp?N`OiaX>(HK&}qCoIoAMyc1P=l7{TroZu4X(cv4)?^uDiBX_BD_xg|Z~ z78^M4>)!R@+D{fwX#1fW=@69O_Jc@LVtHyf-i~kLIk%(i;zw-9QY_Slq5-O74k|xz z065&_!AKY@Su(@oklZi!;~F8C1pe%rj0zMBzs}@%pr+l2Z1J{axa1=Vb?KO~=CnR& z&56D~0W>NidUOKz({Hq{yBhx5C4R2NiyGUu$~>YKFy{@EOWS~&V*?ijfqr8HaMVXq z@ugMTniDAW&;wqHLJv$*a%w@YAG^{R#QPF0kUe}sG=q}t>L?bD)ALLKPc*A2{Yhmt z@Q06_=Yd|1q5_Qy$8_GiWY;O?nLJm_n0$)B(FOT-g6&cJRWl* zFVM;zcR~f@xW-Q!Ad$>KJ3!og?nihE!|D@7IbQVrnn=M(x8xY>3{+OS%&NR_lQarD zXPATNOoqx=;ok>C&?y33wP97>;3D=%dFQG%3x(BpyV07%w%~6 zi_QInXKBeaXktsIp|xZhDFY$XVBL8@=2E0tqxmeLRcEpl^bjWk+l>kYGSE@YFv;&M zD5DOxKsnrW2hDvMj`a&!&(1ak>T_AoOdPRHK5Yi|=SpptUb)L=gm-{bNa`sYJz!rJ zoY2>9IPPAAqpcWky?4y!((b7qj>c_WRFQD`3o&tuLI;elglkKv$)rxo#FZ@*%qbc! zo0?2W*gi8>JxX}EKag~-AKw$(O73au$K#>|UcgriBEaC0K^^;P*jOLN*c-1nFQeVg zk)zg0`z%pQHc!B|kGXAJINhoHP8DUXX59xIMdnx)jh5Kw!VoFmQkZoVG*T)tKokpv zKUdz#lfxXzeuYCX1I#GY-5u_sJ+&^iC!vw;l(u+#gpA6r6|K59`z3gVNiP<$pIVl= z4KV8*W%Euy8({8!D)lI@lQ2OK(IjqxCuJiS8x?sp7LWl)v`5Tu)Lh%lcQNczm7=sFNeKf> z+e0}P5lf)KlCwl~i`oUDj28H-3!*#=L|&EnIluIz z-a>yraCJ>83~{Ug6?M>cUT%WUr}kx-Nu5(;ft2zMn~ z0_a)%V#g3l1-fZgR7s=}i;}z4=v9zTzLOZMz8+qO1jPl6hQs4=rnqOEOzTo76BiHb zc`k|*%w!h5=>m4ZmFIj9&b0)g|&_@$Kv)Jt5VWM8nnyhN*uXn_w3(v!5HI>b|o zHN*qgho}WVFkl;X8m@HnFh5nk)=;ETGq&H(I)H46(Jqh(o+jFth69y%w@DK{U-SWolm2lA|Pun%upuc_C; z-T|dZc2HQp7ReT`uuv6H5$j2zs`lLRN?=)d1#Lt(5)Dy{kP8Qf`lE!>z$$)w-|6U6 zmKu@6vfBi4tP0lmV7$(i;Nzw2Hp-Cib{iwrxqMt-)A?Z>8dIMU^P9im;i4olIo4wq|^ft-Z7 z+x^pfCvV39lL;AbC+x%3HuXr1_xw84mD^R$j*1{c{3wQK!;SSA?JMs~H z!!8X2zN8j)a9osKnl0l<1c^x z|9<>myUK|PxXeQ3HWeC3OxrLHX0{H@Of6^QuzNKvo@6V1(PwBxYuqF8V4vf%gFWnd zduvC~S6TPYSL_+p+Ib){4Kb}RS`{z+jMj`1+Yz+~4{EDF{rR7N&b=0Dp6ib6YH?^e zsHZQ26SZbWq_u0wMA~t`|(|JAS$FB)kBR^uWTq!iu6I{v-?f!MKKz$le0h-u5#1#{tQDWWM`S7N)XbyJxDf&GkS zMU}}eJ5B-AorYgFBp-8Av7IY%DJ6y8sTX2ud5hM$q(?Q=xFihSMbe#u?b0Zy{8{)1 zq*Q?@)b83?lz+2#8dh$7CNfhf-~EjSPb5rNPX-wxi-T(Mf8&JA1B+*r0e_hKkm zYu4NjdQGp%en(d52^ka3JA{RJ=PL+}HZLJV|K3VqY+gzEsTLH_&elrU+438a}wWJf#OYuC2NM%@XV8e&={HSd|k~Ph3FKMEt zI>lU;Y9vjNwWZQJxoIh7<=SV{siytTV!ZEijph0086XS7%UO)I_*jgS!#M)A_H{-e zTYw^N@0YWm0~A|gz?>bP<`67quMjNev$dK7Q9$k3Je|L_`EzXknTI~7UxJJf5P=swv`1wRkXehB$ zj8K$PRU0Oy)U8dT4b8|~`_)poGrK6KRkVrD@~u|O4GaWrZ*q< z0HxR|0a*eslm${2*4jnMQqlRHl?gEWuRa7!Q8FV)P%^E|WZa*iWR6!}q^ywhqid7X zUqs5@qSkh4``QS`POlchh+6Jf5bh7opS3H)MD-Lv%Ih2!k#(KZB=Y6Du3FbM30dl? zv93~=Sy!pctjiWHPEtw1^(M4nX=>5KNU8{em>Tp~3ll-4BB>YF3=S}<7L0uD!N_=%m%$;; z555_vQ1p{Fn*zq=zrHPH=V-SdI|*%M5MShk6Z9F7q__YnD{%q3XJM5yk3N~Hj&qC9qt=^Ij}7SK6Hiuu300)gjJA=nE%imz)L z&#P-Z&vd9@3L6GfTVE`bfkj8@;;4`&nJ_epY9XnvNHp zJ|FUXa-HoRgQiGIXz3F{^}lf;uRDG9+8${MT=e0FU{`B%5&Kx1X{zwb_Y+rsR&5lm zk_yy?w@i!qay_@5jCwuKa=R^9f!PaI#HAFh;615V?=23Av*YYHUTSfj#3Wxf`jnh0 z)uTiS=<0XwWZEBYD5~>L!m^v@{Zv@TlIhZXYX%g~lR?+NgI8&TxUE3YuK926Mq+qs zn*XESNXhl`uIB<+Y-z!dKm6^NfBg2xpZ@X3U;p3z|Fwi)FXk@$LN2dA{@b7a1!J8< zxA>3#D-LX2JtD&D>QQqruO7_t4g_>AVv{mAA$khIfv{8V>@rbAi_cp-LJpC5#?8H5 zq5?7DJ2KNNv$KR`L=K5eT$1nX?_aTe72RF2jBIZ)cD7fHUE8A+EF4qYBjC77!IDR0 zsbY&dZgN6Y$OEA~Rr>OICEA#?lo6F9dQf8}!tK7bg@4f&5>5P%+QX6ow14{0&;9^}#Kdr-zX8jet~Djcfi9jgV+ zkS=6{v}i^-pS8cL;~`*}N~D6q70c*^FZXxlzmp1<9n{#z{?6#xiwY96TvU+qY=a6u za6`G20%)jZ>A{<^NLNXu86h1U-P#&(kjQIS7Xg{%7u%|H&R6C14gV&2?nV0v68X+m zt6g)dWpQ0sO}k!%g6P$^oI ze_(dv4icsp^#41n6i<33+!sM$m5V2_%Hl~(YS|l|j%Sw245=Ml<;c^qfJNk^`T!%i z6LD~D0S!@|vpf_K>KfJ(OnuK-{$Q&PRoA<2aDmo+&t0>@Tj0O~oL&iW0! zQO}t!_2#`TAfmk2Xr1e^M1PbqL}Do)$NU8L)cjhX%~0Y?_EbQNa%P25Cq1%3q3DsC zJ7g3a79Zg)O05uEQyyW?#djkA9NMC{(8%U0le1S{>lmvh@UKR)tw2c_i4UsCD5SJE z42LfZlUVOufW9zFRrcXd(VLs%Qq80HjvVvIz%|Pw{GJLUQZ3tgn8n)#!bc zRBv2@Do;F0P_@xif@+PXB6Kyki_p#9+hOm@KdYU(v|Qim{+~8J-{)#owxug$F4$Eo zcEPT`28{V@M822m=5mVq?KxWWx0F-tzm4A7tqX3AnI5gT@XMug?u`A4o21{mJm;LL z-K4^1<8RUsqUk0!QlN2SqYCj2i0NUWn%U*!`phIKbo^-85WnvbQ~XIm%;HoZZ6BwP zc5Cz_3f+ylPlsJ={R=-_WU}K2fkuXoq$k?sUuWqu6x7Tbg-acS^?srcGHfuH)*zB{B)^x-uEG_8E zVjTENZiMTI7gCa2aJfZm(r(uXN!(WX+oGf{f7>Z3d1$?N6uyM9neG1my<;B9Q zEnlg{3k%X+5KCeEQBy4JH^jJPg2rL$s|1ypI^Mk3BDtVz{BgYTm6QDdeQ zuw4U~1zz=hwzTS1+j=Lnew$N)&OWYe4Lngm{yKTtgyje zo?yDMGH(_9ftb5S3mX~tLR6F-y()@y}dq`1w=-I|H z?mi}UZ#(DVRW27G%)e0nLy416ivUeprSj}C^C-=XbScggE3>bQ&Xbxxm~yZ**5|O~ ztT8L8zWx%~9l3Zcw~?SKGy5`>Vbyf@Oe#6}UV8S7$CCw)|HTw_cz>A=z7jFT#+_a# zjwOG6sJZpQ-o{)f;Lu20+i#5|aCjwLe6^M>kY*^H{Ayx>_8>TWV6CL!TwQE73rMI- z!di>|Q^#?WS@uu`V-jp7Q*HmG)CW^Wi zb_yp^3%l)AwXny^^m_{l`|nj*+oI4lT4QIb!@WcyRoPwYlgP|apHMTaw<-)Tg^d}e z!ggxHiz^5(g+==v3QMs$&iIT0y3Ux^>|Hh1qjw5?;E!M5n6gh3`0D&7ImX#~PzuO6 zo=U+krXIxPOPq0?-}90QGy5tSZh1s}K3x0pmMbs%*w)1_^h%5N!uk1?mM**!-8=T8 z-%GJT;)-<=0>fWoRzHYW>V~W154Gvm#M1i37~{?>ljWcDi!Hg%c(J9!oD`k|%u~r_ z4e?gMxMkP2Gky0B)0tkz2_txp^&^A5ufKlp*KG3l@tRHlJ+Ij;Tm?R6#tBidvnW(N zVKm^^*RJoS>go63Yl9u+aKTOxp0FEA0uJN`iG+K$4v;v)UDzOzk$drYptM+RK#$XF zOQ|-*YfF7NuJzg&WpoxA(K_}%POj&w1j^u1e!sFnDI?_=1G2MbHNT3e2uU zrFFb2{ZOxI9Mr|4b(pss2mQ2?R>{1yW^bNW8avg+FT(3W_L}V)t?_#mvR|{QWPTAx zm(1^Eo*0d`lULV|UF3i0$M;4YA9^OOdi$BQVF|c*O91H8v|+`#3~l({Sb!c;YWgeD ztW$r*cG!9+X#;g_j~N8u)(HU4sny%z6XTLl0KcDrr0z-7N#-q0U4OYr(%T2R#4rf> zB_T=tM|OTh9iea})7pWuYHJ66HK^}^e5%zOy;f;`^z$=IJNR5e zH$Lt|0fu;ksSibZ)EWx9<%#dnn!SlPuinz}-20a5V(+b|kOrXT^Q)TAb_%9A@75Bc zX@(GuV{qvSu2+Pp8q@H3h^o?jm71TLi2N>gh%qr{iRKWadD%s}O%v;5g^AX$T?#35 zBm78pxc&tz!ZlT&$BGyM$uYmS_y8tvMFaGCs6E<_Lgv!YF7L2oT;fOgotEPfcW^oJ zW&hmi;4Vss_V=LZgmF2RMBwAn7YKk*kU8}o>vL_FIOz!CUI34f2SryfA*yf&;NTS< zN|5{G3XdWgOz_^r+IH)*^e@?T*@2=LLBpIbPXP=Nidf?XcVUH3r#YbKP(n+fF} zr3Zw07Z*Lcor5I_pEuRE34Z;cvS3MZiqTT#Lcx_*RW8it`9|WaPaa2Uf3H-dnQbK* zvfYC;`8dowW(EI+I}5R>mcApg$~k=XahO_WJq1xyJo>lLzx4GIKHi#O3?M2{13)GE z0Qx!x(3(@{vE^s%KxUBI0R(&OKpN8g3wW|*=Tmo)-KT%6g?jtcRmt0+3M}i@c zNLoP77?cz0U5uBbBN!qM$c2_~yDsP$moyAc2Tr*s#fu2R2 z?ypv&>a;~q?LWzTk?P!m-l|DIQXig6g zkS=K!k_Ae@?Fmr2i2wPPy32y-%Nd}$Pud|usmJsWEJ^k;-9=+&p%%K-m)n%(5NK!g4#7xUaEZeI2L?qz5D= z@zd5j=hl@wbJO`lcL04zDn8HU}c;*etnxrLmfU(f2IZR8sJ6%{2jX-s11 zLv6%Rs@WV8ows46daNPQYAGY9n@@ke3anKIosk+_a6*mMa6Sfq9bi(q&yH|1Xmy0M z6=)H*TOx22jne10F~{M_GE^h5h;exO{C0SQ)5-pmBgj6~Ii0@t0mRA101~fj04{JJ zKwonJ3(%Av3uoDdpv6{t%Xrnri*272j*Hdm(ccTpOI&jB`LYm(Qj-}VYaGk$J}b&DC69uc*FrL#um=FLRmSk zI!L<0t;%#3V{dm$y%5Wi{E6k=Xy{fZ2 z!i;bWa8Usye3wH>bcf@_tFi!LmrDnP98x*`hLgm-vo#khmrC`P7t(Q`f&_=Di-vPj zt<E0T;It0B~%ixYDaDT1>=;JnULwC)u)sGw5Ib#$lhv=-oo;> zIQI8=Noh;PH_8Zv?&%8@AM1uy2xpCT!1pKko&m#l#mfbc*LAtzm428mc%AAQnO9UL z=^7;8L8kj&Z2W*umnmea>i)=zb!@hb^kbD}q>l9li0Xymm@D6F1LqjPFO=m$*Xv}q zg$RR~*tU>?LFhScs>Dw3`hcA_I>}#obL?j*(0;8!l@Lh3vwRpM7^xM3s#0yl+;>O!4CDho( zBEay?E|$JhG0`?Q#35Ir)>w(+S{4}PN#~y4vQ?HZ@b`qn|1hF5X1_6@(2`$+au6=+ar*@rCQz0C2A2^B3ylF4FQ=G2K53IlR zlHQ6Bva#QU5HO;J#!jUKT-SS_B2XiUT|I1XO7v9lXd09B7eWPHdLS_6d0Sp-ky0H>li$Z21;nOkDv(yQ z$6JMRdUT_|0=u&iVg3b7-SsDc9|5YM5dyB7uE|_;v>Y!7w+cvUHhYk-n#Jac{nqqJ zLmvTT85$H-9u_ILmQdx7y{a&qD;#;KtYv<=uL>2ggqQU_Sdw82uw8`;W`7>);9Zr0 z^RocLRT8z8^GG8F^4yE%-;RkabV26Muj4fJRfJK|t(T}66H4&|K3bz~I39fsOFC5s z9Tz$@c%+?~!$KEl!zUraTWBHz+f^;vMnI^{`H1%*!xKn-vse%(QkPgkNm{&QanFV{ zwv(x_mOgkrv%Cb#ua^cJN1)H|*-b#KH1)8rq7D-1 z>iy#oM9<_x2DGUY*66yaZ_;(~HIbggF9>NH{N_44%J32?hE2rYB#g-kcFME8M><|v zOho-xF>LjyTk8Roz1j!J>{SWrnmsVVFA&abrl>*e@Uxxh`u>J_M@IC7YW=|FlH)L? zEagp8OmcYE)-UcxwpfHxsxnBqdKjanx+26}R;k}Bb%0G->-P))`CP?HF>y}hB$X`Y+`_%3?J22dE2j>gf=HuE%XN zBz)ubla#SU538`9Z!S=|u;Tkq$n*b!uefClOM*6fpZ?E;!Jdh-OX@(H7i8vQUY zKsOUHFrXGch(K^8dv1qXLmO0Bc&RfAb&&K8upR0!tXWuFtG5aar8CzN8N2wT=S3nu zW4)rNrtPlL8p}}dMpsuXnab0V*vVVDGt~Q4HX!Uc`;}gxkV&bwqSn}oEweczX36+1 zBZ2?xx3uPOWfpE=h`q^9rq8wq9Q zf0fW~l*!#IRuKPA+Hr2k&WymNfeF2T-SlKozm!gUHc8g znB5RuVuj$=C3eV3=Q0YxT{x3wGGV{tUgym^VwjD6hGH@S@XeW?{kNMO==ocR(Sw~p zK&@%1f_RM6_dPM%wXsclDN^Bzw$zfRj6n2rD(DxBc<9Go)uBZc!wJndU193$hJo(}1 z96itmj~I8EB(eHbWWJ_)l{bN-#c?tZQ)#btn0#N6{pjcBR2;3X6iJ5En%A7jjv7hH z=|$q4oZfeVO}byqFytqQm5U;o4pQnM-;lB0I#pp~5PtG1bCjl*3yab`I~rY%bSlnEe2=%ZIzfT(Z>DZo0!g^6OZN zq&ahNedVD( zX+ib7@l(Nq(;kDhqf8{$DSA}?ol1ee!-48IBx+Y^(Fve57Ufntg|30l>P;?DC{(Vt zMzgDoUnt3?Bo!yQOu44h@dtro7fN#=J7BrAWuJ99vpp^QY7Mw4&%NM=0dx7Zl){p$ zh!mE|1E#Yt@jfm5yO^l8o0$BbU^P_>1U zrCe=c+zkTYKf4=GaJT$rO9hn#BSRy=0C%mI2e$bsoW%puh@U(_yPFQQEL|mEk5Wf% z6XDo!@0iXe5#Kjp0W&DvJ<<>Xx+pJ+Ao8xUVrsUOk5|PO7K?RhhK^3Mr!T5Z5P9`> zF@j0MJMzH;3~c75b)dX>y$7oMF*H?5-*W_S{J!G6T?->|- zg)_1lH@9|~IYd*MSnrl)Mw?vOi6kIxImsXpINaaO zU+b=+ShK$CLO<;q%Z)FKQl{{-YoLo*hwDk=S^t`r`eEI?hI0Af^W#Gb&zsL@L;7K; zyN7HV>h59-TvVf06fdD_5z}h`gMK>M60}6yfjn?-q2(b`GQ_0Tkx7iq*d_+t`t%yW zmmC83Fo5$f5_&zB3$rpqq=NG)~@ zF+7IUEsFV7*)`!uH;a&BCAKp;jX)>#WSdqxP&K&j9&pqdDlK}5Ao^G8I=zp;cJQA= zs~K@5G`jT1QV6FbXz`~gfy!jE16+!s5^t4j+AXMF3xQ8Bt)?fS}wdvJRqL zMwI6TDrglXgwPNdhsI8#^a+CA5q_l;L4wxm4xbl0Z%No2M7X=PIYXVm53^bFH5fyZ z&snTk`H2DqRm2$u#Wxa019u0}xk0`6h1;p6q=?}lCy<)Hx&SRT@#_l&85l1JJZnYb z)1vAZ#PUjO@t3QNpmlk#Y72m$nac}&C`%16{+7an!&+LP-L?dl59^KvOzZ9p_$9eM z0>1e#`IL-(cO8xq(K{hm%oY9~*SNf_Ijs@q8|Z*gYc7P`@&+!iT%$ys-xXY=?Ag~X zY~kv`f!T(E5*ZJqd@qzrRFx{2I-vF}_(W)qs6Ss!nrk}Kjp!NA_xGC2BAr0$iKqY* z6L~A5t_nbjr~nFcwTP0r_p#_W84C>q^;@^Bd@+!}L=iqIyhuH$fgQ5#D)4}6s#A`L zA*wEzztF%VdTZ+&(ZC~iW!<8kxdnnCns~&{G^SGSFwHOdwE~d+{Bd_c@ZH{`xKK~{ zj9zD8e0gCIoK}b&e7q8ARu_?jmzzkjdR6lBfH-??(jaznA}8gWrn{u5fvzGVWw0(U zM9`&U-r_DDDW*Y}&JVal#}IK|!G*^rW4;trT6pYRP;4KfLeJ{t9v zMB(LExVn4=K;rxg!Nv72)TJ!n1)Tv zim!gWAo_F`;W9SXzo=*1$50F)7~duGUEft?e14a!%Xjt8^(*R{xc)_OmgO(>QCul; zaBotAn^cs@jhd8jbyC7RUDuHgib5LW1zCm^OT^`6EWmEo`4Kk9A~#9L+8G{Q>7U z^nna<=1C}*I*b=YqwZWQE-!DOGy1w#1gj=h1#{!2a@!|W zT>X0ILY4f!`GTx13aYsLwHuZ<5FV;GD15ZMfy>Jq;EHuz3M#GQM$Xb|2nd|&jB99q zBFT$lA#~i^K_$aOv2b;r4Ptxa1;A2!HXc!XnbWDO>rl3IIYP0}@kY;dSVwVV|0R`= zQCphA=t3o%s6LCA?kNmgkITz<_0IVQ$A}jO=9cjm-}3dALsKds5R@szX|)*bvxvwQ zWs2DOok2L4^PPmQ*G46KmS1L8_({d%*m?$H_t;+{7(y*`b$lSBH#thBoxOsoHWbsY z6;w)=6^uFH>M{pfuW1N4Hs3GU)0Li~`!60?6eHQFdILqQLossoV?V4OoSSQo7c3r} zuYPT)WlO%4V;nEYa=#={m)BXieJB>-2|Ww%55>aem6s%l*G=vAgTr>Ae`YN;sf!+$ zuYSFAQswH4@q$%^`tp1O*3a?=swSG--mAFt<>d|9Vapo?Ng6xiv5GriUfv-8dU*pt zl6nJJx%mbzFK2hW~-dK0STeZa%AM$J^yiJ#LwrH6!_q#ti z1s|ha*p6P`{RWvkgYCGQpARUXkc+Wc?k_))i&_m+n6BSra0I==J-&4Pbh$p@6Uy>n zN4=0Bk`=@&=yO#@icI@=8grJjP8qi=iOhf^1jW_yy$n@E_Yl@}DMVd>j+??Bj!nn# zsJW|V_r8+E!BBn>e!t^=o+(vFUmf zee$979>3O11iNFQl(|X737O`K;jud_uMvB7c^g^Q%v2~4R{1>~=E}(NYkd|6`hybT zsq}!J=iq|MM7s0pl2e(LRK&C$^4Hxy(xFg}{&@|QBfplU$(1vJi~<*QOeeJvzqXmU8lvog3k3t_=6R`}Dtl!qD{OS|O6)N@bkbI>7x0FnOssFa}ug z`2>9f6aK!1?n*I>+>*d@7cc36$MJ#!&N6W>_RSSjmcy+aP2tD)#cZG7*8z?%m8ixC z_VEFr&>EtI1R&*IsOEgZvM!s}rOtM~l`uNwdI(7I>>=-72ps=s0Q#n|4sgn% z3>J~3bkjyP{)==LPV7va)%^eK(pjOSQmJ%R$ z4g=(E#cM^B;KsT|LtgHvAxo1Pm>1Ry_iJ`j(~@0TM#CG0K*<4fOOA$DRwHg0PP&$? z(`AZkHsqp?>DDmSakUJSw5JAMC=X5s$zzUUPx>Xv@uLkl%9!?K87Fb#arPfWdQWnk zyqq1(xTVOE0`NOC*@yiy--&Md7^0G?pr=s_=)&}QT zG})418%%;eQM#=M=mVy@!vxY|bQ*qNrc+o+8%~Kv#%mnE4|+%xC2`Pl$>LCOBD9`;J_OC|EAfjZ2QeTNAOoS?Hw?Pex#FOYT>!$ris% zhl8v;CLJU4tR+Jz=}}u&m-X@t%yTtg{-UOeit;Vq%&XG1f|6wccVlZ4@_8JM`Ax@m)T{eJjnL^38FXXhpXZ|{5`MnEL1<&;0BlJb3M7{ng>y6jPVsd$Tz13iH(^aAha)UYOZ7|3+ z@2G&JFE49Ih8tZGEXy+2W>f4Cqgsjfmy*AACZ8Wya(c8&b?UhuSL)eJ6w-pr9|SxD z(!#zwHaADlbrs|@j;gJiRXRrU&CH&v%D%oSfny#l3;J`=1S&X7Hl?Tj;+oYM2bh(V z*VVG;9be>XifgXg1Pl{Ims@~xNVQH>&{V%TQA0bezRigmD9NNxe{quzoa;lKmM`kV z46>HOv_C>5KVp(eIHySFfvGyUTEqdATa_JWDU`C~Pay<(I30xmBv0O>LL6fDP33Lnyn%d50fhG6OqQUE1+!SPM4!{skQx`=oB;PhVE zKSVp1S7z7Foo^tg-rMCK$DrgJxctSsmWWzC0A0hgFy}4WnAjkuX)R7b-xxO7F)Yl8 z>~VP+Hm2Vc^VCsJG4JBXdZ(296!E^iyi+mEWxWVvEF;w|K13!q3O&C3PykFQRGbgF zrLFX5=`l(o7uP}+ib)I=jC0C;Ip4VxrG@R%($gpFY1Gc-N`|qHRNW3}N0B2{&>-7U z;J)~LhZLy_Lf8w)&=_d4#V;U&J`o~>$Uj(3ZuR090Iz`BSQp|ygZn;w0xo~Obfp9= z#Qck~5X)Zx87zNMcEy#A(!HzktSA>_6}pMH`^@Y5P?tl=_OY z@yi>?w~y(|-5hV=a?OqMdW+vmCeeS{bY0oh{N+(yV+>`(D!RTJ<$*H2;GMlK&U+-L~B5S%5zNG{R z%DAP5E{MnC-%~g_m5W=}jt4S)kuV`$UOs7@)WWW^cNTU9wzP}|+|y)NZdur%!dg!D z&WIGOJcYgiN`veVpNh-hWY0ZH_O_Qp$=$g}xx5U)$=zk(@(LCKO!_vTatZqs-&|hE z;-!Nur*HEXXLqOC;PNC38M?fI^zHlzw=8ermLk*rOc%u?#N9G5Fm>y912i?gEH6c@c zs@BOLu*~u0{DA9p=X5f}dYabklPj3Fg)4+NTyCMb`RkTt_POP2%?zyyJ^-L+!Y2f; zW(I^FQYS+Xx?F^-=Gx)bn|{ek&!8MS$!9W7wdOfY8)C*W>K1RxSP5=vA*d%=XPIc! zSw5mwj~h=c-xFr2&VW2#7HYTwTOVNtBa&M%mB%d!sKQtP0AnBAZR zm;m?Uo#CJ2S6&_^kbPqD4Jo^LQVw3dse1mg|9yzB%8EfxU@ICLy{ z3^D~ImzOutq<``(b)5AEp9MYmmCN%D?$D=OGRF@bvo;rKP1Yi8pK4dE4**?TtS9{P zv~h1GxdTo7#eLTYnM$HVN_LWqL0)g=Rd7l=#shFMYN%z7+=-f-M`t%IoDkmH!jOwB!}rmE-J;g}jiZxQ_s z_?*FA9{_-xfK?{&K942V$0v}H%ay$@`U{d1e~~JPKA+LwJ^Oq{gLlo>z?w~vLjXJ< z6N*;QHjO@!A8%F4*Rfd(@pu@3WpE#SpqN$he=e?l}8I^EKxyQwcbX+ zJ>%PyEkCjW>hkSf-_9-?cj}kBjmA9@X#&8^0|Ll1_zxkd@foZIr^`?(1&SA}hjVeG zCnU+Xg6`BUz;{xa%Ro+a4X>Q>TEAezbD+VetI6(qD=M9Cu)?32WHvAy<*Kcx*t1Uw zNFidMOMt-g(gKA-G&Qz46K)7$Mamu*6#|k&RF8Sydk_ahMI%?!gj~ z3!FSb->JzHff-{|00ZuK!JKk^uaSex;3O*!IB=}^(v=jp8FTGhMz`kZ-JD55n4%gM ziv_l)tcm7=rQIbxZN)?4T9!qt;-scm$@`$!Fsb7z(nfaBi^g1ZknC6Q(N7>v&CJFv zw{%^-Vie2aS#W{GXB88O399`w&6?GDGj+PTAX}EwQ}39Mn`E~Ej9^DtPMnK6zIT;Pb^Bbw>%dx&zc+@G-YkYvSXh**{mJ-wGyWkm}3l zEdR@Y`5%A(pZ@p1|I2^;kAMG{e|Nq7`@jFs|Mh?TcS)mHw$4Z-vcZN(3c4K0o2w?J zpbZ0C_~2*au;1~kxqu3mwjTXX5k`5ppJ;4Y;QVhBU{=2X%x3H zibSAavp43kn9NZOV}BoNW*LS6%+k*&`Qx%|hy-Tz4Z|3GyWYo926;mY8(*FSzg@ar_n!uS9|KP;eD!X*%0F!eyy)Lzz>C80Dk~@isaDfK zXxslUf&JakN^7UZp>QEtOp8M~iGV|Ypz$$iOssU%cu_D^H5hp8(ub}=sd>!;2@gR_ zGKwSKvm$a=!)11X_LfLg@+(E{pGbTT5)(Gu{BzM)%7EL|>TZ@492!ln%qMdAQ1NS* zf=wV1W2?;oyT)Ax+M6N?%&*!v!~A00_(8#3A_y=FC^P93eIU12c36s38XH+D64pCgt8(I zUK7g3BaCBvN&@P0)kWG%O$i2qL*~7TH9x&X-&HajwKOLgIdbRXPi0s2xS zOBzpsK-ZjN(u~*H!}RvJ`h*_DA*ZoP>H#WcLm%sso9R!pu#Z`&rhbEK0`^QOdF?5jMAoXTPO~-svcGPW*s?o{OZFbLe)b33rV%5sYcIJS#0ar%|1>AVc-Yf;81m!6-b}Tt zfYYLO0hrLhPHxKam#6Y7E_4hzhn1f;_aV@{%zOBqTwZK`-swt-{>rgqe7owL#{uLL z3X%f(HeF63&~LM#h~1{ZNwwA&a?QIfxtTa(q4MCg^v?b0X`U}s$mrh+VQ#5pJ02iFLbGbyF}nH1~(eN0M*+Ws^t!))2hY#E-P z*0DW5;mX7FgDizOs}gdT8orOyTa@x&PyHZ>>Ij5J%>%P)jVH>JQ(V=E<504S1fFrK zZo?|s%PJZ5q^~$#2mJzIJoHOYnMb6D2gxC)L_M=p>r;08Qcw-BqkQ{a3xew(5hz_= z4@)_6boiIN-Q5Vr`FU8RZgi4is|i<`7aMyVU#RE{}YYFR@l>47%U2r$)XUs zu&CgfE_=+N4rl1npeoizIhQV2X7f=5QVa7Oh-1L>SU_F}&A+1UIV#CIU;p#W4|8Ns zbL3-GrT7@tRD6uec2-IVjf%;R*f(U4gJl$s;Kng%2`2-uH7Z-?IjUpLC9-Nvxh?NY z#AqE;59$5Y1r$%x*%F0DWiGFQfMX;8!DUg~8rS{_V5yDyidD#&aSZ7e_QqY6q+z@K zVcPG*279NyQNfCfuw3@i?@UT2@dk$*C~z$?@Qz|m%jHeMHO^#^J@HZ?)dH@>Ksr3W zd?Wf9E;~EI|f*RdJJ9dF#EAb3{{seG%KDq!XZkN=(d z;Lx`3Z*6=0GqkP#|8={+Lu6~5PjOEy@bTk#OcAEo`1_sU`IGd%@0}C;5{kj`!_d_) z)dh*`Bd4HNpq&GJD`N!9Mk1ff$+7*)bTNyBlB7`RR*e~H+ZERMx2I|7+IQ;OQC9vM z0iw5(*`71;IKQGZ_*>;h&Vh!lC$vGe9QnidhaP>W9-U?FaUh`?`7&2XziNfF5C$^j zMtaJGPXqj)e}8DacWJziu!>De_Oj3^dzo@afL~*gv4$e^!ZKEZja!5pt7-{m3l$bv zB|k8;4m>asJG&^VLAeLFBd(*k&UfhKA=49Ju?ELneB>wAv{ri9XKmXJJ`Hz43 z5C8pN|KI;4I#ZK4e2K8YCz6&1Tas-xwGE(JK9L*H=U=e4Xx}4kLg^DeQP}rd+fLI; z8~Lmps~(3pQ|&=D%eZE;$=rS(_Xq-UP`@^^F=b7 z_Ec97_ex1yQ(j0pt2%8F1)bp0Cuewo`KS^(8PSpXcZSx*w94o#Wle)#Z*es+% z0}MY*6a~jt&ej7El3}UGAjA!U$a&>5>vD!fYz2>$7;RPhvhXM9%$kOGzuWa}Je=&W zIjDyvY>h!L9PsxXbVd%Wpb%w81^G8Q z%EyEX-Kh{haYriHFjp&lb?u=alRpIB|Mic{KP2$}+kg7c$A2B4IsU!;<6r-AC3AgA zGqhrl4#ihMh*10p2w{dA$d>uI!_p=s8tFPaIO#+k=4K!Cd6qKq+@((HTPdq#k|?Xg z$oVXNrqhVyyBP{N`CX0ilD6aMi}gyYM4knmD`(sUO>3NO3)hHS1!vNFpuEnP7Hgc) z%GJ!+pv-XPGx~!v`*9?q?UF`mgJ;md<>+Z7X>9OW$JtY*Xj~2&=MF-w=O#cwOU{TT zi_qK#X9<-oyrfy!s52jZ5x&szsaqLh ztvHE#jzgU^dkY%P^{L0xx#*g%g5^M&gkOahpe(}+=O`mhdPys?(FU80$fOJ-a#DtA z?=uu-C*1_n#Tnnju9bBY&_cD~8GeIIP$Tg53maU~TsXdL@B~Yo9D_EALq30-yIYoc z+m%DAO#s1WLsl(UCFAme!Wh0JEJ zFNL-*>1-ra1nYOa<@6remhuY1j6`%az&Ginz$syHFV&(L$sqQ4UgB&n1?U`NkirR3 zL;xZaDTu8rK|F0hk6CUbOccy63X0k57Pr#NiF)m7%Ow1#ta5bOgrdLXcFabmJYY{L za+z_LfiFKE@La7R5Ki5T)#W=zoE7F_oDfsDIJzEQ5}=8Su7jyK{um~=uKD4YV;LD|z75Fv zTgWMgLc$^4aBq>-u^x(&sM#iG;2rOKH$3~;ed1HfUNq>ps*uT!r1v0iv(oY>WvVE- zmhIb$9%O8RD&De!cykc0^R=9xufH4{%v%%Ut`WwF$0I-xOlh%^X-uIKEn|8|zQd22 ze`#p0)_(Mw+f<>llt!`*EZ}3i!srX?Lbp`)WdB8zU%N@nPMkPvS7w~pypBv5TVa(6 z?AN&+L`QE2Hn2dW;`V?@rui}E+OuwIBIZ>@Boxw=Ez726`qJ?WQPKL! zf@aZIS|}qTb7#_{sxl>`4gnr?z#yMSx1sGcO2tiPSK81+k%p@WuvrRSrT$bndCDv6 zFIWLCkBBvL_TiwNGha#AxL-=Hp=3apmuXi!avm$H#U?w12$4j%(P=Alo(PPsYpwX+ zD8~rHAf~}#ax}oWyfjQ=2uQKlG-O(eT{33gDtznir1(8(pfYscO`#VlyrNpAHf6Qg zGUWsvi_4=P4)eje(nrWVRbBjQ+iK>o=|=CJPnQU5zv6LB%;&L>GGz|_Cr_wCG6PGK zKQgTavGFBoS)E(YaVJ3$Tf|*DXs}8BH|8nbt;y9hA^x zHk^@%Aj{5a2`Mnpd?hSwT@%ZXSED8~mXCo{HcPxZ&NYeQqvJ|DNqr>Xr!JRv zLV7zVv?>E(J%@?gF;*!9!x&1nR8Q)DKDJ^%e@j}#W}e_SoOgbgOEUtS)<7{7eV z;*e^9FCm!GI7Ac?Aj=#X0F_dSxQ*&xr*D`kJ_=S{t)O&_PD3?Q z=Av8`a>y2uR_WvmrybHSLo33fxy8|ntirHfSEkf07Lr3VuA7Bh1k4?G;6?#ol4=E3 zmT^DT8l*vXR*H&ku7$N~bh@_sEJxBN>~f@CzZCsVu2J7NM><%zOW-!rC?kto2b9Ye z38Oazi}wCU=6(P@B6A-m6JD`hE{Ks;jW>G==I6^a&8>1i}S#?m;z;r5NVBA}CYJ^`JHxH4rVmNN<> z%D~DbWmTC)w9RoCt+EMK4+S&kNkUJtwr?GEq5=a1SEu zMYwE;%{J!u$3QLFj9V3jl~Hj_@PJtdaazaR5)UDnvp|X|L`J9HR1>HUS87*N&g)@i zC2PDUoI~Fkh7#SFa!hH?o*k0}&Mjk?jt1K~6ks^vlMx@VT5>qBuv9CJUc*nzqhYqu?wYp zmDSVv5KC51v1N2m702AVBH4r?YNg^8j|L2oTS9hm)ry@YQ?|sd&Y9?Cc+Gkg`RE-7 zr!pyH!Zs};XcMsl^`rQa#U=4?pLsHcvS%(rwQ!Y-P`#PiH_ag;B4QLdb5`w_)=Um% zJ0L&e#J}Zgkpj0br1JHqfJv7rQdeJPid)oKhxrlfPZ9y8HS7cHFd!G9Ho%G5PCH8@ z;<&VDCw4YrUTZQ1BAsZOm+F(NPSN^t+uD6Z6w(Agc9-gND_i>3(Lr_=e6N5uZSU=e z3|%b^J~t~6}5TrC}0( z-;&kB?O`&C)ihPGT3QkUH_Y$?udF>(e_48LZMYmbE@`SN!aYq@Zh>Jc!cD*<;fj)o z?&=dZ3vWmhkvZ0y1=8FrQ0{alxFpF+vM^4(D1_oNwfYEeibq8YyqR6Z#b09t3Sv2e^#!@10`+--#k8scw64USKJMh!GEo+`0+?W$2;?4g$1j~Nw*rNz8lvq;}t?vP>` zAJLY)8ewZ-qTb}rfFK4jK1<|a@Ei$ zvW>BS3a0A<>*AJA-pCd~p5SL#%9-Cd{`~!bJ@1l-+oIni=aUcE!;p{(Ys$uXR@!)4 z7f)VGQt#7}yIR|8AgGy=k7SQn1F`5&Mj;8^dlmmR_*fy?_CREAeiWzN z>~JkTDk-Z-h*(@zctX?cT@Y_#Rw>LMlR7m>^g7vdg9>W_>gqh_iEr*z-8URW86;&j zp?A}2Dq&`|;Po&xW6zX<5Cl;7KY7qT`42E^us*90fPH_#9< zZs{^0O^!Nq+qD(AFg=YBNS_C9ZX$4Jv7WHv}0ySB< z5L3t6h{x{Apot_<;S>>2Y6}ODnqSM2f_|PjT4vYeVwM}PCv3W=7b(^BBVyE$u`-+N zB&FH31`8GY%V4369ePbn>FXqK$=g8d-~pk*hmDT9FB=`RECY!AssLiyRapUl(|-9e zjxNnD%-<(RE5X!$44}dAW8NN_Dp%4fb>Z4iyyLY@n_~6Rrxes7i*eGdY_TeP47RXv zA8y=lLdMvQ@4`e(zVD)q`wLlihURzj&lvVK<+FRNR>~>dCbLdl3wj3@nOUu z0vKSCQuxkC>t_m}ChE8Nfb~M^sqRjra`CQ*puuV^&`YJ!=G=Qg^<5#PxdZ`EOgWea z1UwUY-Vg_mo%jGLzr zV+no~Vno26f6-S*%~rYv9Go6&KvRmE{~Cqe#{NqnYv_xrJK5?v5*jMx8rcLR9BV^( zlb+qOOJ{unv`W~@E~g#!p>e3MydQp{kCHd(Z}6MNu0k|J(ARc zW9_a&KoM-}WJb@Gc`sr@;VXG&GA3ksB#T#{VV;~r_4afYZ?|*lNh@p$i-fToCJR$& zcO)m3CK3Zd&A#w5=f2P{IjwoMGM{En>g_{&Spxi4DhF)unL4!fh7ts=yFp2%?iSwQ zeT)+01psffCX$As4}mm{%r^AKE=0y}Sv4xio~cqtB1l`JDs5yjWCgct4`w4qe>NI1 zzD|9Xsb~*#nC3E(+ce)Yy5m&@vSxLDRbKIy91{$;JulZr=+jNTc!*zX_5>e}IX2st~2xnIIKEps@>2P(@3Kf>lr;f@t1ht6E4-W{Y zHR5x?NHTg?Py75C**bD9omi{uQupNJGwe^}(>gy6?AKE04T8F&Iq?_4m=tD7MA)Xo zG)9LgZt<4S*H96`@f(^IRZKYkCOYNt8II&#=H9CjoCq9!3IJZFV6=8YWNTj!@k6@% z@wup4spyH)<5b{R>4~ySc2~5H;MHb%Jmoo?u(|=FRK@bJBwa|zq-}Jw$4=n(|XtyndZ{d1sbXkSRqN~(yBeISpOOhE5!=I zQ1!M>FX_vk+Q5)X%&$``{p4<}`G#W|mRtk3kUY)2iaJk?9_z1y1J~s;qaF0X%HG3B zq5AnZlnhD2XZqBuZPabz^y0z-$~L0ZC_jsB^c%EQNNt&a{_I-(+IAw~!8FD-Vb1r_ zmui3|drB1M6*n(Y-Ym8`OPZKvOV4_sV-((K*ie)$jhMUuv>}0=Ws|j1AVYgF13JjB zBwBkj;-LCz1jiV|ud6BzyQL%E{a|IPR20-@-Afeo5pXx9-$V9D@@8{;A?+N@lOz(R zU*}mogsF|6Qr1EDRiT8`I%nzD-r3UW?%Rq z9|6*Be^Hpd&DLN-q_w+n5pZ8MLy}5{F&-w7OANJc$a_t*_o>vzZz*#sO}2DjKM;3C zln{4C6o5M{Aj`(x!bHO}!mery_*wb6BJsy&5R}l=iA^Jor1;p3yFLDE-`p`FrM|LhQb@cdO}7{N))Qrgv`C)Fqq@WI!4-B&M8kv}5S^-9Gmzh;I^>Og>r zzZL}8F%kC2at4Y+(N@hG73B2B=_CIRGb@9+%}+5Xnx+Yg3HSk-XFq zaAi~2k$^McAo-7#y6QCVcz|hXWi7zUv6zW`gxj)2m-Ta_;#h2UMZu@EjXbfEZn{}Y zuc{}t);|`!5OjT|1+pezMrsuRccoUP%PT?Ys_sls@)NV@9z-em@*_eX!160i3d4u7 zDR5_s165a294MLS6804J>X^pV$>hfEb0jNyQhn|4ARZZjk{nk39-$WX8Zxw~+{oKF z9Yn25To}gNv5!$CY5yPEFAfz>_eOtVPSZuYs*G)EULoDPM>s7kM@j3@G< zS^$DUH6+_q;cklNE)>&{98-~FLt_P8=?J;-tq)gPLQmZ{2Eo(0=Z;N&P2XS;FyE6! zM_=t{Y*y8{WqI;hW{`bZ#}t*OSM7O=>76brRW6||(Ktf7ItUtGEJ^SDKvkcTiHx_- zAzE_%%7CWCxN7FoiNHXt6MiW8+-3d<`+7*V>;;nS2p9-NPx!l91`_Yg6C5sSW0ubz-kywL4|~kUz@;wki(*q*$*PFy+CyQHzpSnQia2 zFL%Q+1(cd_%#FU>iI^myVe#!>TH-}~tAt*b`bJ#%A=Q0XUvZV@l%b|FcY@Ywn!VaU z?^|ZQ2X_<<&E3JtK$U}{21-Kf%kbqd((2Y+S`)?OoNx}tB8jjl5xEHt+tn*%aHXbD z$4pJXIwZv-1cEW{(F_W*UJuXr-p3^pRAuj#vb+v{mUeX=U@4w!>#h344CfKxUycgl z4lrsJi&eln8YV$iwd!#ocy`v%%_|_DQD+aE_{$@BpzmSj6@At>$SsUcVlO$gYoi%G z!KPUCfV=)4D#h+NtWHnh<)^IQgfIsm3f|%pu|QM`+a?!$;`=6tUwS! zl)5Yj4sVhw4z#4-kc~os^{_Kj@(Sd+a+T)JA;6%)sk!i0>lRxt11`RB!6rtp6k`3w zdB71@?mVEP&WPF!E9+`03|&PXRoJzg5ov=-zPcEuqP&5dF3#2iv~VHpVTzPoj2b*I zpR!`oV^;K#4=`C4b)wf`)=5X{D1poYy!}-|5QtT7!Pj-&o3(G4< zHk-|H8p+bN#3vqMpDCn9Y6km!re@kc*JVDKt3*Q2Zsk*nBwfcb7I_@5!(EZ5Qgg8i zU)1CELK1AV4uJ$)A0M6%#MuraD9(3#?k2$*^T%bcG#5uM zomQ%;v351i@LUHwayXUp6Cn*a*0>CF&P3x3uoT9+T#csWSS-44Qw=A0k>DvE(zfn> z-BInA5OA!W6Vdur*%@wE^p~NI;BT7beFs7`^qlVKbQ2PEcGKeW(oIVgp>SfWh~|pJ z)E!RB1e5EQUV!bA$kll{k*j`#if(DHR3FK@)%u9Sc&u{G-M(VXDlM-Ao9mW}36c4m zHZxiKEPEq|XB1dm=J>{OhU|3-IJjqZN_LWL>k)%W!WkPac6MT|>J>?>MOhqgBe9lg zdS6E7l>&IKdF_qvLYeZczqn*9Z6y4)k;>*4zM`D1{zBtdV<{?7@*eROZ!nF7zbQjU769c}^5 zl@lZ$ngjGp##ySqWmJl(seoGaRFnKAVy(=#zm!_&&4rz6bK!avLj}duCo6?XAt&pjg zTNi#ZTDsB*QCPF)E4c{z76o^OqfHVn=V?T@t}( zi@mr?`tbdJc0(={0Wv9!e#!BY0Z93<5G#CgSmUdOD2?U#u((kQN~Z-FWX?}xf`-Oh z1*vtS#AX=VD8*^j_!HB9MAt9&BX6NkW$Xezl<3a7rHn)VB6Crr&7C8IvU*wAo&gax z?9JL(3~f1+!|7w~#6;y_K`g39x43n1j*Wl~P5yF<<;~6Rh<1FckD2_U>U$jzM2a9I zAow^7(gKf$9L4ZiDm>(Xxr9W%?KFn$^Qsh>iovQMOif zNDbi~|HWO=`iKzx`_OWB|FIW?NWuvNCYHI=m_!WRB{G96#mZ+8PfpNcy5UM;m&Gt) z%CgJEvksQMlY=W*#?OGU^xLa@G*;PYkQgV4WMUW78|K9a zLP!<}rO?B~$V%vO3qj@SEO$y)Mhdt(X%g(9+w(Bs3tdV?o>+JpCYQ079P30UrXgj! zbrLj>i9ygi8Z(TFZe1$7A!{1za=AzjPV4JZ@2Tvn%H*7~6D50Q$3}`+2Uk^!m{SQW zLQYIiOA#^7(6LeJbMCX+Hhbp3*3Wj%UY{*kP!*0z81!hf4&~HmwW;rQvh(Z+HR_Ar z0%q1{6^gHe4e%Qcf|ke6uRMO-Fv&#Q68lw?#VS}kN1$G@f1_n&P;~HK@2%jy0z@E< z9oLtdPQ0s0it3+1QWi9yBk3-Zz6uC^xO`Q5(BUL9$uSeN_Qs#Jo<8|m?b-dieo#v_ z!ApHykC%#R5k;Dpr2>PqF{=XO91&8q`(Kq0Y9DlGjc!7SyGe)!7?+61%zqP6Xr*&R zV2^$uZb&1#N)OV|lU%R(l=;S7$(fK$k(Drr2q51%B9Ieb)q>8V*HISAqMN(;vDxyL z+JG^4<-HUF!xS{cE8GZ?UMFImBMI;HopySsO#vVe#>`)~vcy(k;DZXq(xf^E71{gX z92GK9-(LdMRAh?L->u{=qtCLo@m*_%li$@Adsl;^MtDfc^oKcUwmvMSY-pvfc}Zll zwAG`MP8F5d$gvLCGohhs+46Yn0rQz=S0P~eUKy6XMZlhTo(64`N$*x_-XbC;lS&JI z6A|=idUw0W4@a$X)^gNRHbFHV%!zWzrtn{sEK@){P3spS6TsV;v{LlaHCb+f4`;6~ zCj<01;O=?}$VrkSX(v)5GojAPZj~d4o3$L%f~}2h%DgFYVzV#S#F?0RDhCfx8Pdxd zCtk7>kP1%CZ8pc|aVG&sZf2=m;T9*&bDSg;mZXDt#Z9z38?Y@oAKC(E z&IcAD7!E#Go_~eL{dA`7E0?a=QXlN0ppdvP1D8ZHmsAbhyQD#s;+XkP^YBOZA>qk6 zFl7!|K_znZ7wQ`AEI%XAo*{E$?6*;v#k~ZcD@3lWL7p|Cq=NBKuc#y`kQq;N799|7 zTeKWESH3J|$!y^az;hbi;^~n0B;ZpJ7^SqPo5=tfP7aW|BtpI!eOH>h;>rpFI-(JK z1NVp0igae=t>ir5%v2pHnT)I50w*yR?;T5wAjn|R?03-&uZ4M zIHEhw1F?6dzDwceM2O*0&Yl7%bz+r(UT&1@t25np=!*o;iBzV2bXAWS?#z%#16ew1 z+Y2CTMXXuX^l*2&(hAA;u>lIn(iJZlTmXx1wxY;nRRk0J1yQ+$DJT@lZ!RO6>772x ztqm`6(Jiry3`)Vm>X@*zsM#@rZ2%>O%@fWhiz9UJ0&XGs%xc@7+9KJP8v;Eu+HqQ$ zltjYbuqM_tC@<^{1z}svcG)%PmeE4Xx+QbD=oXKZxQK|XQ?2-E<0F=0)1jD{pS zupo`5H{71=%9QCak&+tx<#LD_cM4CNNz>}oSl**$vkLA&T4c?a6l9m8vh*O1#R#nH zIF>nVh#5;n%cG2zs55d1X^2VH*(RG*DF%>+tjDmA);uni^s7DGm-}!${{*&9=}%Ll4R(DOma#x&+#K;IdM%$(FI22A{|v9jjfm( z&-yAwS==A`tm23lEm2j`)7B!a2^4+RTS{%nRH{QbT%b#_YW_vqbpg=u7b(=J+_OHN zd2a3~3&myp#bqp@LJ0z=l9UQ94NL9;bJh`z z-J%U!g?;d8r~$h#i*uM3>{9FU+JjjJWgd>Y+u?i2a9&(9S(XM3X;EcK4mXLS>ft8I z>?})#t41xwBGD0`(h)eud8Q8EB2~t!4waw+@-)i2qkQz%2=1Kn(l z#4Pre@MUQ_`h1UaKEyrDa8c=p@uT`le}E{5!e*%+Y%0rh2Z<2wm{X=V7Te}jMEv^} z>cRXa{sQCfv+1}<1rL-Zv*r;IjpI- zI~Sta2caQyWPGyxFKI&};^g+GF<25n0ZHP-QiQLEb}PSv84krH>7@-AYyZ%xA`aPk z@}G*6EKUNqXhP;4H6fdly56U;{CD{Rgdzjs^wh!@a=C6X4m=TS94KmM@Yp3A#}Xe; zzN*Tc8+dKFS5GO0+88{MCxGA;qGJo zJKU|88+n~F9`HQqy7Kxiy~YR)WAj9>=mc$$rT^#Xl<<9Lf}$%0HVvTq8>(#E{yskr zeJ#mI+fZRoRCGtUv#54(W5}}Z9t_p9E(fZUH%?M57Jp|(qniQZ zSsCaC5}#NG(tW}_I4M^Kz9QQ)`7&TB!BH_a)mtWpFT(F)@1IIY*>3O5hs+WU&0d#i z1h@jCuYt1y4njRCzta2=(S}w{swr(Mqya-c)33 zJ9Slw;u^|hTPLb#@du0_A#mS0u?3nN%EnJcd*W1h53p<~T?GUx!z{;_Zq&K)NyMml zBE~n0*r=STyz;g4%I0}TQKJ9~3FLq-rj?WhQ72gmlvB+53EFn0st1l|k)RK$xrft# zxmncRsBhdNy*S6t zLY3>!wX%~AV~x4wa`@05sMOMLg=-Sqk4v8=F;3{y>JdiLNVIxROO4etFkg~_GpG|I z?`<}ktWmg80YdxQ8x9lvMaQdYg8LTvg}5Z}Wk(kE(^O~N{&>Dhoa*`2gx?;=MRzW#kH}>Q zqmT-d0x$fH@HL5n4ZK{Q(w#G+5SpWKl&&lfET^FJK%&#?7PM8y17ze? z%ulaeI!BTKvtrbBB4n)?0rM#UM?kp0@-S;zDq*Cnu58N;miA;W`>w3+npM%9I=Fye ztDNizPm`kMEWVo2k|mySab$jr%QGP5=pRQ6*K{dx!gNJ2!_kjry2vu>Jb_q9vW(?i z6J43_ta{B%ff4SR{=OrJ`3ymm*^D<^_?>YD`I!SyE7W z?`!7b2rZ5fle3hmmmKHQhs+0&na+>}K}0OO92Y^@;k7wbxvU65(wXzDeJolkAxyk< zB!eSfT6^2+(DS>Js<)3Vb*lv#3&r_j@ zy@4f-4|2w3Wa%!dN+sY0`lYto*}QYoGn)mD_|y4 zYnLh{NDe&qFu~5sfdiLD-^O;N_BI!O*N(7!%+0CzRB>Sag={j+;NYqTp z#lzz2@-m$BwNylIAG<38pMw2$p82XWuz?5i*TR!$-*obv zwm(5Efk?LShwwRn!br>6d0f7+z;tyK3(0z;%sagyEMvH}OMuAy>l(dUX69c}p-i}+ zq-YmKnK+(}5HlSvmrKVFXY>He(V~FOA&QuzG*w(0sgrAS!)+1yCRha`AMU>_RNHhr zN3~c^LE#Uoi8kRk1L9S*X*nK`f&)6;__Fk1bs+@WuWcvbQj*=+5UMW^4^mNuBKm4~ z;Uv*`{olxAYUB#pC~rNHjffUk0^;&$=9Ys?AmS&ahxWVH!9>hBol}x-lPI&M+9XOI zp}aT!M@?jf+dPqnh=~52kjZkVXQqi<%2-qJ_b7e~)k*2;^&Z98M^C%qj-O~Mm-q?3 zF`GXFCi0C_vsjQbzjAU!na_@Q#_=R&+To5;eax>c!HnKsNAxx(G;@pIQvoQIg;I2u z!cliA7?bdwD^wKTK;UV$$n`2zPoPFFo;EVLEK+rm)Y1CQ`5tc`AfW&;@K3bI1QoX5V)CH#0ss@ z0EQnr1FqRz$5H+F&J96=>{@8CaWtUvLZa5iv?}6tdfgU4SNp0qoJlWdQYnfh{B>*< z!`duV2tOe;QmITQsvoHHmN^(>9xSWiXhY~^^0mqNJY>m&;2~6MPm7%@NK*OR{B-=! zuU6o+jU8QO2ZlN7gBvQEOr@1N59(h3%3@f4BK%XKQxEl%YLr`bu=dyHrO2kVj08L> zABLx2_AW{sWxu8}sn<>f(g;(&`~+5$-JpJiCUJ)JrGzqN5rp{2mtgb9qP`{8tEOH* zN4&-RIO8oIuN*a^fz-;RfrY@-W&xZ~Bl@YnTvn?5($5ijS6Af*yLxHMs$K1zvUWX< z_w#UP|Ej~C0aZ9zoQr(8Vs2+T$+6mbn$qY2BB8->bi0G`WgN8xS7f%4H@zAEC z|4kQZ2dS-{sUBr$TNIa2BdmHO1x_}7$<;@4nQ-ONLr>bG#Ck_o|FkfWyQUD5Y51t$ zEK^Q=RB3q)-FQ%mt(-Y-4u{w?mvz@>04kGmi*vYMRwh0FIi2@wkSVUTrkhlPz-%{3 zeMQSnQdZ7wPa}J9Xb8@=I1F8@qz&X*B})akBtRvZ%%+u`NR@Tyntwv&^$>vnIIQU; zRcTsz#-B5)0OM$$T|otY?BR51em9l;5j>Zw;8*pGpeIViZo&l8l1I$&2O^o!uM*U& za-MBtsta|Ae?E;;D)U^Q4(<5Er`fCDWvFjOz)C|Zt6P4Upvct{+15Y+luZbk(LgBw zKnU9}wZ=FLOdxmun7| zwGCj|c}iqfsxP4_87Y2cVMa$BB|PTh64~soIQKa2SOy{Phr##$6=A{-JB~0RK}$!9 z@@BIL0VWAuradGLJIPD<(;y{j?x{a)(IFPq{X>e*b+BjrDAeX#1!vVoCt}S!A!9spPQF^kIKiu|Xnf;I1HaR?2oK!? ztV(zYz+vm#ZhZH{oJsKAzm5PkP->h3;c#jMVB};9$XHyWw-EC5C^Rc0V&KTszLd;dmJ5_2iEnWi#vel?SYMY3!X z7Nq9&GFsP(M56tr<}t%%p#Mave6ssrYuC0gqzh`J0ePxZYOKjBK3q1^)KHOx_<<^! z4)^cQ4>CS_b!B{%xhpfH%w5xX*ydu=p(*X?o~J`bsm%M&4dMdIF0&t+UC9!6h7W`G zB|NF|WH~`g?IoH&>ots411^wu3Bb9)3;aO21nA@QKmd6XjO^ z;oFjVk5qV{rgd_^zFk%;v7*_l68mjb12RpPNjZxKTVE!rfwumER7t?ccW{)`LdyQ& z2%U`42`WYH2v%7N75)NBPnlGwb!VcrRjou!Tpl7OuKz%}1mNTIVCfKm9e&Mt~?*9_U=)s7^I<*Y*95qqYFt6xlj6iq*Sgd_-P{bmlgpm3FA)*vdc~mH} z^`88}+W0ZLsD?*I0?5gV0@3OM)Woxj*vgyh-s!e5b%9aDd`169`3}=&yxEwxLLYcu zaBJ>k+8fbJwhrZAMp)rAnR7PFBW4Z5C~z|e@1LACgk@@FJH0K~8<71R#UT>Z_G=OI zkMz{!H82Ry>TK*kkl?l5iEfz~RBri5YTOfbnD5mz8Q?6wmThVyG!H4G_}FfYZ#d@I zR&BnMel_rEh!nsbRoUozWTjdv((HK9ELR?w30+=#qWhF-hz>=RMv_su+z?)<)y9uZRVYv16{lwBeATI5I=-pGhl zqK;BUbVfyw-*6*Z!Af?$fhHsFlPwh4$L&b4OeXu-4uVHfYp7^3n`e=+%70{83_Gt* zeIuIC-Y$pVMMsjhvwv55vXvjtigZk6p=h^?f3mhrO32Vz1At+e@n7`*K2XBEVZaIhlxmGx=aK1j!>Xb*jqJE@!8=GZL()#3i;^wl*<^IT*FF!G%jpwaRSo=E$ zB-lqwdYLJN+OmJLI6UBt`^ zC>ybbyl}2zG>&zyAzjkbw2HH~zc>$Fa!ph@og%nFhBL%Ec8x`5ZwKAT3`R4CF@w<) z!`olaJnWZHgV3E#f*)c^N?_;=UKzn9Y| zGXA1*sI0(|O%)i0xzhCTi?C8ItLz#!VQslbpcl$zRW(XEv{XN9p;3jZqO>Q1H3kMv zaf{k_2mKwlcsg7yhf%K*(prH7fxkmIKPt8R*8{)Sz`sL9YTMIjOPXG#XG_m3b~Hr4 zF4meQW3?_tGP|k#kj>s7$I3R19?>6Yl=|LMhYqxpI-1tv#J5M*N1r2em5_ZwXcE-- z)+Xz<piq4<2@Y_r`%}k{+PlBK|Msu{_3!`BvG@L-`|t9P>yxebkNe;Mw_|MzbJcoS zC+HT;;U#|}VGhTSo8_i*Ec%=@VpUB4^%638ZS&V(C3EG+Ry<5Qs-op$@B(DjZmNb> zY?msZs;OFzx$ut3(}4`iQQ>)1>;S^9Y?L;m?XHq&0|WDy3wwt>#WO0m@Zh@j-+ksu zcJ*^g)})fG5#b!oXh$!01_n~<*gt*xrBCP9=ud-cEgO%z*|hO=8nDHuegOq>MdA2x z=)pgIc-M!&&?gsC=k;N?Fp3X*kiu@o{Kg=y=l=Aqx4xAIW67w42_p}yZ;3@)%f2{N zNQPck^F9^7beW>eH4$SJPOyqzR<#PIRS48%b4U&4_6Jfm`e#)r3>f3_ssRWS>fd`f zi95U>>sAqWA;YV{g2m`2EJZ~?bm(tbcJ;U-5n)!1(PU*@%=|0U3LZ^F$^JANEbuh5 z+8DhkJk^}7qa0=;fqoY+(0?%8ElW~i396)I`pBvg@q#)KtjQwPx0bFkU6;ju^yPFP z>5oy$h^8lNrI;Qgx)Tb?Ei1F>iMC0$`Sr}`KxqWrRh2LQd}eN%neXxm$?}2U-X?x~ zizt;u=T3x*n3X0)bVxBOMA>A7Bl8C`2$0%Z`PSu3MjfGKNrf#+HgaKqB2FU7kFe zTfuyP8gR~Kd^%HtfBN)OpZ-qXD>XS$?6w+_({5^vQu3Td?h!B#F=Ply?Ksm2f2sLR z2vbmr0Ry^XuPmn|C`3NPa!RwhxsjMf{_X7jMtiJ}`pb75L*nx%wn$Ei-*uf_yRCza z)4hgu(6>;hn$VipC>!cqu;??Mr?-?vPtgROaK;-xP7>C@h#!hhSsfPL!$as=KZY?e zuxKC~+{wK(@Sr(tg?0C+{q9Va{ws4NFF9zldjajOX2>0@z5tQ5w;EO5zC3zP$B8ac zYD%$&f(^b`vJDBHD=T`#4e(OF+6(AAmfjQ`zm@&cc43nvu#-6*rAL^xM1FIYdnoL_ z#Wg4WkWt!OXidqkwGX`RaOd<~J$aVSCObI%60UBgo?GO1#A|iOnv>EUASP3T^A|SL z9tj>jROv!)xvP-`XWHb#iz>U1xy%YWt>-N3FUlK}D$!p!v4D<}*&5?Xk2ff@yChM#g;KE?mhM=ZP;!T< zG>iBpvlkGz5G{;m54vL=Dr+*HU(ZJfB5rkXq5El!lk_(A$Vf}Z9WzA^2&joD1&3T3eL{+K)7Xm#1tTh;`tybUu#%pu)!XWLfavV8j9 zNtC_?=Pkc1RN37Ae#t_dZduwp=@!62^E2zTXxh_}^5PxW>YOyzSstq6J+)yw+|#!( z|6XzK82xs{L@2F%<%YAbC`<~_LmxHw!=lRp><^u8`%1uR6$V%Tx;8L3tCsN!>}T@HURgQUkzvR3M3E4!{-B zXlz)dtEtW{5FBs8;hxHeTS(hTyMu4?+Tus4z&sKpeq5Gy4Oq~m&WO@)3#myBeGS_k4I(21Nmze0hT8$RLU_QZuwLczck3YD`%j8}L^e*OD zdpUwtvD+RJxCS!E=iL?D38>@yn|k0Bug4AQ1}F^UF&f&3<9>Nz-90>UFm=E44xaev zNFIf6bPp#_5<-#7i)e+UIy*ssp-VcEH8X>oq)&EoA9Zt*ug>k}PVOq*RiGqqDXmGD zbq5fy@kOoQ1rLu8hQSnVP3baTG|__``^plHtKC>$Epf9CwWla7 zRd{~`J&4I5y$TS03e_5yBP=*v-}UK*t$%7?tz@GCV?YS0#AT>i@&H zG~}x1S-;h}364&Rd>^06jx^&_3Iix)`cFSa9;AwNa&`|s(W+;9$k~oa58ZJ$r}%Zv(>FXddz?cl@yy&r zG5R1XLS4s%eG#PuY~(|a7w8ZI6IM{gi#86-o+1>FSrkhT*C{*F57PB2q z+Tu8 ztZwE&0XW$w7sEY;J3LnGAaS1IqN#@g8sr zd7y~D5fUuFl(4fb%#>%QIV{X`G)tUspHe@-7^4#VIxDd+ao&%nQ9(NcqdmBHG>Z^@ zfX-EEAFB*BBklTgEDt5k z=p$t_+oRJhGSErJ4l_i_DbXy)K7GGaG~SLc(+qD1K&h|CS7)3J==9K#5(Wl)DR`*wt~kFFGsdo`;e%xfkG#L zYex6Fh1bpYgn#ZM*vHzDX?>4T)@c`vGK=AAnDscrbav?#zt%1^<^*tFW?yr{Oiyr& z7icU)MK_9Bs;QK@C7U^)no9XQ1<}o|Dz_EE;A$NJ@UtuOQ}uH0D|a2FA~hl@!eFvL zhlj5Kpdz``l6$x5&YnEXBg1e5qJA6?J4C7RL=Z6NF4x zp)RO`mg!r-jtf3{FaIETiR!5oHY!BQ>Zx->kfFS#?rvd2V1$!kLUddaiVzraX{Ecb>GxToa& zeH^1Al!H%xmRx75Ng1S!6ww*~I!Cm0{`LiC1i#!+K4Pki{Lth&W0QGsBt~Xz;2MQq zTe1J>s7O}mked&}t^(ZO2DUH#fIuHPC;N(AK*3X zzkSce;_b&u8|v1?-K?PKKB>PL>ZY*lg+z@Q^PnIE=}TQp`-Q;7xSZKE@(GhbMe;oS z{HFR^GRBndn>3P}IciR69wIy#Gi>|u_(a6xU!0;|6zFCL&`HG!#ZYpTU;QR*h505O z4%Ba=e?4OfAoD{w>aj8smzvtjV`ifTPwj`m@yY-T(`O}A9Ze0vxe+&#}q|f&7A25yLiY?B~gCsMzcwGh;_I?pae>l`DtI5=H2mdmXRS zt|q%O5szb6X7}_{M6g%BYcE7Zi%k(RLid*h?;yz!J!M1U5kv@Fk~!>iL?9bJ!vH3t z(~2S@OPis=Nm^F&5P@_#l)()}*(qXBl)~y1MQ~!;9&=h`2bNQNNM?5>^k>tvE*g$K zuOT!IQO$6U2F>~F3;c}H-*%kr_O#<{pr(Hm2I`?Wc1;o{*(HdP@~&>(r-(s|eS7&5 z4$UXRFEyVCP17wgIDo8zvzTg9FS&oN^0nOk=_8oLhXagMx1 zWyl!GWJJ;ySRd9@RMLz$&)R^@JTB@SnbNj zc){Xa+NUy0qH>yA8bjs!IYrK0?X^Vo1Xs{5%!g~Y?!KH;%4&3hLn*);`LB%^FGE zPzLc00wzw9g?4#rVpc36$}As{_OQ%BGT$VM_4e@mtUU5&)v5Rhu%w>0q4ZOR&kk;L zQD7_Vtf0{Awa!Mv)O4!8F?xIrU0NRmI3iuvR#oOkZeewp-o@&eGtL8g1tz+8l^zJ) zZ9C-i0k5ea&=#9(iW!y!%J5p=XTvKm%O>&VFtcov^wavNULLNSO@*HBJQ!0fkFMtC z*u$?A76~=yw^J)f@E;p#W6q{)0=SxQuWG{%9B82>#r) zwyIdqkAiFOCXtl4YiaZ*2Ckbcx_f4`%N5+qJYd%|a7qHyR;pt<`9*VK-nWNJpLM#aR$bHNko%lQ(-$HNiL=aHi+{;G&rIBmI2<>} zx#vo$8RjyVMB1iDc8Cj%C~RzmM;TG}@50DyQ4-Id+STHCb?5b#`m_hC-v;);(m6)e zV@@og;PMnoS%K(y%W7T2YGDL98Cs=0{S@QEJ;4=Tpjjlcc(l6bbNM|t^W#V53sOb#Zrr{?uOW^^P;FyMz&{qXk${KuY$#ePq)tOE3SAWSS zNVu!A!CxJjCb>QpwU+6>uB8rnu&;_Vl%Y(|=#VK?3X~D5rS3yr^i4U0jWCgA6u7B# zM2niedfc^8modMFV&pk@C`RUehGI-KNxZbDM0A}EiYridH^BOGo_SPWl;x0qRo69s z5&@Szr}3$8{7>l;&8cFg9^dM#v|}v-)3NqgCBc**iE=$c8&v}!vg~WipD4P1@wGu0 zz0-1ThegcNMueE9j0i1#HOww5M?sory=uXkOPvV%SSIfWuTuu9+~jYL9vA^8ZzlR6 z>#A1tMAf{c*^1tC2l_Dtu88*Bn^m+m4+keX8loeWaE|C*L|1~WcR%NoR0M$|Y!aBP zN`9Y*R)&Dk!J$?8mT-c>t&Y=3)#U_n*E?N{5w}QD&4K^}9k5UM zH4lZ?PuZOcC9rbJjEG|0(WV46Wee z!qCJPaUsecd1R#~eWE{8G+Gt$>j?QCsT z<@jP}H(&$@B|8$tX6~*8Y%jwX^0FAk3a54_LHJCFXm@r9UydDN3kfU)AZejkG{a7! zy*gsWq%1;a${5N9Qua;MzXfHbHAS{_i#8?olG#r}aQR=Yl#t(a!9ZR1nFF zR4~m66B5l6E)c>!(FUej6MGAqt4^F>F6g_>A>Vz?4)Jx?D$Z%UFAb= zkCFOLPS8&#mgJC%uVYT8zT3QCQD~xUf*+vF%;w9lQ3AtHhxt=>itH>Z<}y$iEeLO; z&j&f$Y&D^INo)j@W^9CbS-<*Yz6k2{9g`pk*>96@j7qSGn1r(L%@~8ZyB}e50jrUG zqk*`=)Evk-3_IdW;i3ETQcBH|WBQG`>lRf^EoCz~S}plcs9TkC$>H^A%V2e{(TbAk zwP{uN&>Ws?(yPfIi$Z!&N`haCF=TBz|@gomO^x%!+b1DBvmpPKY0O zY^E=xI9W7Uw5DMM4S}OON5fk*e4pIJ#HILP;!1QlDv_ci0tv^r#c@amBTp{VRtw0- zDO>F&iqcvgsReAc@{nY!CF7z>jsH4s#!PT>|B?)-Sl*HxSLo99`#f*FjLO4uA298p zuyJ*+(NoPCE(Og-otMpm>WWWdott0vvOd8s!c=-Zk8j0nSUT(If#nMra^njUj#-?` z=0i&ry~OSK#Eje3^F&v1mOfRXwUA}sMU6iMpJl~-FU28`RGVL^mbQeTbZc=6d zhd`3&NYNJcRz2>1T~w-_r*i1YE&+$UY=<@IODy9V z`Zm#*rrLlLyj6cFKUrRs&W#QCpI>stl)+0HqzW<=U=p&9;0y`K*1y2V9ecC0_vo@=&*Z9K z$M->d%F?6gA$xv6-$fM?+4SQSec~w_Pb*J7lsU};qjxqA*Mzz4Lz;qA^Z1u2(lHXG z;Wfq3XLl#`chGl!K{fHJfn0g&klT+re|Q@;fBLFrQ~pZ)Yt!(HTo5W``)b`N8U&1q zIeOVfi8*>H^6YAkq`~pAWgdRm`*N-}dp`?fQ!(06)oY~2w6)Y2$w@U`l16myT|BRX z14^J}%!se=f`k2F#3&#lHNVmmeA6|9dwZ6!4UuLA)?sUB@em4a zc(+2MxmI^GR^K5+T71;F(d00;S*i~x_+^_(qu)|I_mt3xX^20?d0PBsA*@JHLps-g zn*TT7DOMO1oo8!#g9_i7A_v_yDemxIp6K!F)$LZR`NC`fZ!khlYG3=-r)7q1tG-Xm z$u>N05Nz7FPojzig;K~A9u`Q$?|6+y%rYjIPKq`r$v5h{AQX6-3g6iud3Re`Y)eWm z!#uVd8WF%Eu&h;jpc-d&9ppN*mciqL2|FJk`rNlOgKWAS`Of}=OD4AXi$cI|a!^$4 z(Op7{rra0|2J-2Iuw6--gwdPn@DqsA@|UZsVG)w~At?>g|56_OMONIL5Ka5q*GhLR zeWbW$>7m3ebO_6g@MoJ>ZXtEVGDsMW)RBH> z^Km&%aCyb|*vt7oaVd{KgJ{_U&GoYEu&3i|y4sOQb}ERm;CZ@FXT%Q=*U1k}7U$Nn zR+&2~U3Fu>UxLkYu7Um`37_LHjwlV}``U1gKIzD(%$gmybh_Puxa&y3xJRWOK$vkh zu%l*RHn6i`sl24*j`!q{J2iXWK5zTMluWyi%&dwe;WJM)#4WGjaNW|$1j#9Y4JAnu zFOq8tc1ucQyfJl#;{a})nyJ?NXq*a#nQ`Pe#X9_lQ%BIoNmxKit0sd^e8tXhxnvY| zOU@qhoNCML;CeBhgOrduCB9M6AZ;WYts;eoOE*GvH z3t+rgQtSf*F2sEO7uxzFrSmT|i4{KEj7S^V&x*=>cZH3=JWOnat+&^GbW6mGQ}zXjrL&QtBfi)L*ix>+>{P* z4`~-v$W?ZARon8@8>PV6ql>G9PuT(xe{TwVML9~?H}CfS3ga)tsXu4swNPGD=hHsa zNipVGQ8DJ(+HRv!a?i7paizHNU0QKd?@mN513hwODj{-4w#ff7cL_3@g1=+*k@IIns(iIx6iFgfNi-0#Qst7>XKG zN!gdmwd#~!MrflXr*2vt^j)Z8f-}PvOFzFIcK7d4Zd(?QE78$XMZ)3pV4msQ+UZr$ z4++_r;0y_3dY2FkrjHoQ2-J<_9$i_mtBQXDRFmBFR+sx?7__l$rlRkMO{qxf_WZ8# zkTxi>uuI()eSB0rKM1d4pU|LU+Cuv+Aqe@iL>8r^DRbS+})7LAyY|&TiHk+`Cgde1}RE+|A zA0#-QnruTnb;Q2nNCuftLBW99n1mk|NfCkV`58go4-Gy=1=V>KYf=%Ey%)&$?%khC zU6;A@xb*pFbk)69lUUn;RPrz@V0Mxi*%rSniuiI%Av@&V#K6n55p z5pI>`>h_OGnfKK;$g8<}{fWHm?H||IL7<`;+x9JxA3|=F#N!l(l6dN8+yYrUpI_o! zTCqAZh0+pkns{z$DV^?;J!QW7ZvHiekoYN-Rq8Sz`fs5Q3p}JF$#Gevy$>-FZSsPM zn?kc`h@2$?!T*`&62@#sFu+MJJsT9WcjNN}ggpb0N~lq!X@gPC+oVN#@=4OPZ?-SA z!c}Kocm0QK(?lokw47ki-GffGOj`~(5JscU1K^}=$8dwyZX6mCLEN*1ifJAFnS^qN zcoQnKdVx{8g>!X*5bet;FfUaIWjGm1m%Pg(CN9c2W2mk~ggp|a0G zw=)SD4s{j~(V>pb?`aS9!tVc- zRi!gtUuL||3`~{#)|r8&a^J$?5M&IO`KA-ZoyR=Sc~V@jszGY#v7CeAythJ0%Wjs* z=diB)8ARkYGo{{C`x^KcFIM2c9!RiD-BJhK_zj7?lWZ8r-Y1rgIg#XwEJRF$k^&if zI;TFR7OJ1jD3n(cwcGe92AH!?FmyQ^o(9*MZaF#q=yy;<7^|6ML)yFjqYJCm65C1XJ8!lDMvPuZGRG(XJO*mpr z$&u*wIzB>-C)ew)X0A0!l|kj|j88L#RgdoNdllj)rJ}|X3G%bEBva!r`uA{@PVSDK zW;wMlRo>#*u7&d}`a?pLyb8kBDJz6q&cw`FqBLXG!KY(zS69a*RTu=v!^`Ud!G$f4#h-He)bTxog~FGbJRuMxciu zB%~~w{i_(7GL0cx4yjO$4J1e$r)TnxxdrUFXb6LeBag;rU!*=!)|80z+`O|?@1i;_ zk+m4QTO5<_t>iK;2XmV&t%<7+)+awK6KMZZ{x$%6JImzj?JS!w`fs+)_oL4)6|kr7 z&t;O&KE#NF+7%5`QMI{2YpigoQ+f`m-upnK!(_SGpQp>9j1~4$u$66FdyzR^cmMsjf_Nu0$n zlwr_q4pnOlqEk%1t8~=8ffGKnDgG6>JRH_K?81{D<$yi;KbkoNxc1bQACsTeT1&`SvBB|6yN$O2=5V@fD6rkCC;M~R}DwjHLrc~zCyJ|B9f}FqUi;*`g zU+mmxWmi&&M5o!5@-QPgVUX!!B-t#8B}ahwI&n$92HX0@2|k8aRU|j z6mFmcp9;U4kC`VyF!YO1CxQ|SIVnsy-k>mD`*RdZQX5zMw`s$YK&&mG1Y$R-IV?1n z{9hnKiv%!MKedv|j)Cg7D8F`g>>No_CGRiI^VX{el;AnS*=lEHdADiK6x`D<>Y`{t zGdS(I--s;@Oz)I-s467L%>?Hua;cMge|vmt)HEZ!ech+tE|QvF~hoNJkK$;JQjD zxY?iIa}7#0?0U`hM5)H7WJ`MG#630WNB8SLIcQl??^Hp9dsHIX-1F>CDtxuLr-??4 zrTv>=E5}d8AklTszplq6DdYHA5zOYEOh26(1PHAvjvtY1nI#pZWZ|lmkuzd5Ye_*Y zf{PFuePWJF^t&RE=q1U3uOf-RDNXI~R~583h5C92vh>~#U_LaPOC(AMqRsrpe#}zm zFHC3@%??7dZuK0YHxZh8Yed{6(e-Ki%gK-Mxo{#BcMSR9=}Mk1nurBICQTXWI7ic6 zG<_Gfs|{SmAKya8_T;H>y^fSZ;Q5>0L>H$Noe|A_ld1Z1G(AMqcfL*$>C&u@NT+6H zE~?(CsHo^XMEs=Q<@4!mG!rq3Q?z+I+VE`jjYWpArOC_wYJmODeN`g43WukxUQRVS zzFH{(eq~Ak8feP4Pa1yG(RVpR1ha6HuO8 zmA>m&XFMX0(Vl}{#`+V(%hP>1tTvbZq9&;`xjNeGzaH7@NXD4LpZI^olL!X}F%o4J zlQ~C>#FEW~IR!=pcMgxJxNR0&1Iv2z+3?R!epZsF>T}o6w#8iNW#%A1yL>eh@aMiN zQBxJU?avVUs=;z%L=LtxBcL5cgDw>a02(q_agGKFp06rghoW2IJBokPRmLqlt(*opVG;uc#Q|Yio)vyXD1mbkl|%v=Q3bfV2rmFTRr&N(_I>#8z$ z_(rti9SXPmoZ18(5Vf9!d1&!jiRl`J_4*h^wZ*GjV*dLUOB`)z zK9N2{jr2DgOO~5F36HeHzWAFZ>F_+t(GzF7Y=5qZTf9a*gB7VV1x8PSgTxZQy2wjE zQ%JH>KQR<7L~g0UMt1(G?*9cR5j-wIOjl(L(tbf6mHkp%q+-~(81|jLOn8WH+MgT7 ziJ8mT6nQfI$uS@bQsuQ4*1OB{BgL7PA3^Ct;J$@hyjG(i#Du%awHQ^7`WL$pBjolM zSqye?S|=uEz^P=6xJF8fS+;`JQ6X-OAhJTDC18T9pqlfDDqRfK32Zw@^;1-TB{6Kz zG^SB1s4$J7@)IV=R60;`DG?i$^^ZzL?sagJ$!>0uzy%`|1AGTim{U={CK0 zN!k;Qp%~iQ%+Z(>Bape~*bP979Qz-;`6Xo%MEBIXBRIY<9ZV=$s}3fIDD0*xRCj4w z)q>SOp-|;=qUj*-*UW~Dwb%VyDI#0Sr~*z|MiofR?4fjsv}j}&YFo(GzzW+~iF0=+ zPio(tHCE(r2v}nZKRn_p`jI`HF-^FLG_1B)anb)r*_-W1mt@zOuaa+2J`b0qi0;dj zAn1x90uoi~E>J}hRV4}i_HExBG`v9E*&skD%NKDscOY`!YMLOjWXf949rOm2IfL~A0u5R&mIr8M)n>?O`c?? z?2-f#1^crQqH4xmc>?dH?J-L~f48z);_?4ED z~PR7x%i3Ebsn5t4%}ZLox3O-dKLMQPejh@j6)>X_-#ppwh;;zTPg<>)$z zS{XW5)FAZMR{!f?z^v<|a=;1`9IEzA1r^Hsgg+z1*L`HrR~E?*0{fBV!ydZV%#dxh z?${>HVLAut%W79kJ4As$%%!yXIkz^K9+{{%L5dxg*B}pCg@BsSgSwWwJ$H%Z&P`xG zT~hIlf;!d6m-+N~VRS{fH|Em6y9z1 zrdGG1q2YB1O6GWsDqqg&8wWjYr*E9pq?4l{_rWYR^&aL_Y;FV*yI@5y<0@Jds6-K8 zauY=4;;Iou3}&vO?)bYu>mxxhlYlXtBV9=xr4xtfjS5p~-A$((>tvB|Xh{_Q)QfXZ`IZ_Z9J&)9hr zkXi+HH4_?t(J3f6m7L3=g8}Ebtlo<1NAVUEHA?+6ILn9^oN2^sS<9C+$ADVAoK}I! zAUZRRZsl_h6Q9{$z7I~6)Ada`xz;;tFdn-2D&whosv3+3Fx8Fqj$AQ3rVX+lX7>w_ z9v`Rk0*NTs{rc*nvCd#!)x>q*z3zC-I-xsej_-nmT5!*mX;TRUL9orh;3GrYDzy52 zF>OI85L5Zo>AI(+3b3)(G7_bVUsGVRJZfE8%AC`G>=5-)w_SUH%_-nSVHdOPgcBwC zHe$hWwluO;Ii-;T_0*k_(gZewDb??yz1SP(2}tLV5CK{x7CQk&6<*&QIJxDGP^DWp z746Gta!|GZl1_5A;oJz7`dSN<=cd(%PrFG+&Er&Mr(JTSmtbF4Tx|snd3zn%K(J{v z5HFFMeY|?ez7?d?c?o^Z;j6k*)Q|DK9}4te4Q+VoW9}+2h0eN=>73c6QcDQ#GT~j* zO~mXT0U88n2F&c6x$)H4JjLZ%@^Db$aBo$%vG&e3pxPB2T+<)6ajzzm8UmO^p&m*N z{u#aa<2J1eBNo&b(U4U@ZYN}@(;E9QYZm749}coAW4Lt2xWy(CQz;A*%JB%bR~Td` z6v(@p>@e5}>!YB+`q(IS86P>nP3Jc9^+fTx%tKS9RO3+hHF@?zwu+fLHn);tmej3e zJGX!UuelIqm#EufiY)BOt;k9qu}pGlHjE?LdU%ezI8ozIT?ST^IcI8^GJnYR=+T-P z(Ge(tU6|LX$&7y*in!jZ>6Be%ZL2!zavGyUxbg~3FWHOXUyh8JeeNKioi#7H3A9Cx zljv$6<}em_-3jO=cikCn zN7hw3m?FlH=KrQT6?>)Eyc19%=uJx*&`Jpl(6mefv>X-U=yAl#~V74lHz>KTeK&pVyII2+H#!QA3c0z&DyuYQJRCqRpcssW$JnKtMxX;iEkk5GX zq*V?YC6DMl1bkebm9ld$d@^7^Q!+Rcss8xkGCP^2c&`K|FzC{<)G-2LUrAsBA?kUJ zzc6mHhAS!thRZsaS>aLy@#LLc*VFE1D zddp0yhpZKfddMoVNmrJ65QVyANyPfWKL)v!hfV|6jJQ^YR1S#8o#m|wpr|fY$F>Kk z#Gn10!l;^nBuH$uh7)Wt*h9g{yo5sREaOIVz8fg=UL|ErQG)uE6l3AKg?g(C@ zn9L8BK}^}00|$ba+>KmeOS8B`G{vGulJ844C%97dsV`FN#r(I*1&ZS<7if+r0Vf6` zDWf|Zpw<&rMw9_zs<`P7|BMLIo!Ezar4!kLI#DBGDR&a{x5PQy38l*;&V(&~QRE5MW!{+~F29Oov-k=v?6z08o8(76TcIAIWeQ zVRNrIk)EsOGp$?KiD~1ie>}`5Pct)6p6)(@a$0;RP$IKbENy)Xs7jLnRQb(?ixeF` zkX(Aqu4h{B0IW$^!#&^B!zW8n~i-dTj zD4(Qx*qAtns65j{#KzH(GP-&IfD9gm^e+Jt5btaoPLL2x)j9tX(5ZS10y=&IFFc80 z5(Y)Z(`c8~l|$V2!GIKdzT50HL3h#N8IH}C2*OBpKjA|+vN+fPmVl|QYiXGFWe^f3 zWLb5|H-&(gW}U)B9>*rK?&H`OSWj>A79BZ9$C|EYF=)l~4~7@Ur{b&~jOPgXg<|WI|zcgKoo1Zn%)I zav?B}@_?{|lMZ?LXVDV%hdti~By$#8mFEqjo*!@xPdPB|Rii_D=c>rZXUfOzs=akq zt*vX-*$C!ke`GPpID5X!K$dOg%(9xQ@1YU^!8yr_F%*~xDTximPfP?T^>KKYf{MQk z>*>%amvT_+rKunb7)l7nC0Ron?HeYLAlW#7I}a&`E}K<5OqI=Q-FYBh_eU(iP7m*f zBnqKXfkG%$pb&bfOaYO0ieT7R37;_VJBPR0SMR+fF?%l)fmI>QvJkZDkA$s)<%bk> zL)ac>p)xsu#yF8vTlA8>RJka9ekc(X*J12delqqB?@Y;h*A7+o z7wD8y56%{tKU3;56%Hv(-E|YJJvu!e+|j(L%RS?qi@4J6H99K>+|zRT_!c)6p6l!9 z$Y%xqHC!quMAR$gtp+x-Xy{UZk%X<#GkPf9kgzb+SXOnVr*15(pOgoWqbu7WN{izC zIpK;;YU)gZ+zssmWU2}f!1^`uMIuE*@%kb=vW?g%>NkWXaiVrkoXFFYFiNGgWy$G8 z<@GLlI7F1fX35pnkr_ia2}OD2P9P+W-c^)x-DRdLC!b~03S_%Y-(CepXlM1S2ouoR z&(yPir&`rJv?H<54b$tW(h@8J>MJe<$1G1lsAsQmh!K&oec&Ut=mX#%1tfGB)#biT zyw4i6mwGM?Cx^Cum{B%3#aIpPkwB^PN`b#b@fo`@_Ns73ng!a!kBk#6N#<^O4{q8v z)@k|d7!US$#duV+OIeCfK8jQ*R@Vl}6FF>pjKApe0#8%2@ql5$-E)xD@fri$!n%we z_(+p7h^bRi=wS(+TYRiq~?H9R6^m~-$^5S22Nzz?G$0Ubl*zb{!1K;^om)zG-ZgY!^Sv7E$# zn{au`NsS;;cMj?azm!`B@igN!5AN)6k?KCo>E4N&J1|qPuv*$N@J87&Ftts~XGtyl zCrooeEMc5o>9t9TV3?}+ftt#?1_6sN;5R~lpY4-tZ8fRLS zVn9jKGuap*e->hpr&d!7Mj?{|cx7Bjs#hO2WnRAA)I1(no#GppEUOFe1fV)(;_6@X&**>$`q5Y zJ+DhRD-|U>1e;V6EK`0KU;CQz z6HxGOw`Rj?I`ZxTnaK^XRc4;0JslMh%&ud#Ma|DUtB=wQcTouanbMR_tf2uT!h#W9 z<{(CNktRlTTX%4e2l1dG#4S?uDFDPRq33skBmt1RsPDxv?na0uJ;7mO_z$)yqVf(| z8rXD|pjsf(WT_G{Su|=<2{FLObz?#;ptNvtsC@M}8yR)k45LrofYfH(Vef>QM1)u_ zRp69_M3386f4ugKEOwf<`XL}0m+16B3N_nlY!Ocoj{4gbH&H>ST8Psga#}L@*WI}L zCIIG^kXEPn$}h!ohK*(w114<%fm;S59LB`?wjNc_nT7Ov+E&S{yzfWSPNg*OlLyQe zqq?ted=*=~RQI88nfdS-t;3_gl&rH_bqqBwAD3PG91bR?bkcpb(T@@YipkdGftT9Q zo@iAgKqmDlfGQvA96eADQ~;I|e&ZafVM?^*K$5vh#9s$64JpXh4;pQI$6KYOnVlXuzCjq8kVEIZCNLEG&2DC#a3`BXLCHc=v| zle~2KDVUOiugWky`L6wazKBUY`4aZOT} zYLY<&=3|pYTT*L7*>_@F#C14%DkI$4 zP@G$0)f$B`V?gtsT6Wawdqu+-9akcbkZV;|3uujt384+pThSrxoTeoH8PL*Fsby!` zH4K!QJS5zvA6Tf?ET;nVn6sSx7!$`E>MFbU+l=2x-UQb>$8RLqvNBUAZi${l&=o`? z`pkM3(ckNqHB*Q$$Z0sM>m4eu`--ggqEmYy`$zFoMWD{9_GUnabbKdkudjU!M4mNZ zss9okoi#9H60_3Em*uu^K33FK;ye1*9T8Iu3_g-lmU~$NE!@6MWVB;xdsV2AS0fsR z^+HEx#Xj7TxtVxP!D~0i=nxnuns-<%04~SnRjBkqBZrjQM~vl3%bOb=3w1A(j~1PT zOh^G%I%(g&gr8Ka!-m+S?u)E4n3k-xv zO;#8=dAc!+1dcNQ>Xr)VPr@%qPg76!3BOv`czoMFk%)4PILlIqoCLo zX(%Yf=I;d}G6l+7W$zs>V_CVvNsC@~kM)_$Dg=Ca&%Wu>&{|d{Ikl`}1xgEm7{V7L zMy%k)zEEMoAZ7m6^Y4nBvSH-CHXmMNBxatwmi>_w((QKF6s+52o7z~msEt)s?-ho# zSKZ~#)v6NK@3IfY(bD`#GAX4w%UL^e7K*>k!Z!o4s}`exkeGijd?TydQ9!b*PBR(f z&sm$~tLIX!DcGD%G5bJZg=-2yM^&5cN&vxjEo;^;p1?Xtlw|2e@5#E2)yBp*p1nv^~N0@F*v7u zf0-)Jd3VZHkyOq7s<7{Q#NxrV(?*eV?fP=kUE{HD(e za@sRxq0UM+*}IMu>z2S44P;aU%)Ur1rmpWv)z_*V`Smj$`52B5+Nr8kQBH0bOBhre z(?Y9@V|K#864&=?6~b{d?bTTW8LKThWxpUx68p-W?+Pd;4AS=3@`g%kIjdE=N}gHi zDrdDA7j8#1VbXrqXA)@@xI4y3B_}&o|9WCqvVx_0mA+4R10K(*L3}C(%Y#d zWdXPMDF{Mx8ABeJm&TAYoMj9Fg_TH3B!jWX=_xQcuNs4}O;dHkUCv`A+?A#hnyt5^ zn!Q?Eq$YQD_!SiFuErJ&*Gncs=_r_ZM(&mH@n{trE+-42V1$rbkMJ1fI?gL;oi9@3 zK~`lfh`SC&M#vnqq@DfD`ls@pnU1gcWkT4nHi-|X6hWZd5VC>tuyJ1<&0^>XG42CG zI{lU=K*z3hr=kc5Ah=+u%70Y7Q{_M4Qt=+bL8W4hx=jC|V^Ww4YCWX{0O);jg94f( ztvLssQjp`{PUGzQ6r7t|ny!SbBqHFC)XNwz7q(E#P?*Eh6YuvlK4yMKBT(q)z2x_2^7&nFycgA z8;S&VzO_};?T4yKMfNr1U>gbUR5f7cxIzI&D9Ewh6y-7%BFHW*NRQ~6sqr2OrpN4P3M`?COmZ~aMSGwb0mn}(>hc=^D} z@=w=W%ckr7ZB{Io)vE7~pgLL7lCYDKg2dHXISx%7!=Br63m{MwRoS)+2uQdl6J!)< z@sFCsqAlev2@tNIDk`eFNl#tfq~eQN-E>&yPWz_2AmUJKPQkEm*=$*w#Je)Y{-EoB z&H*}d73&Q;`DHrmtba!+u!apZ^C%>j%%hN8+Lj8*)rdwJ{+n`h`5rFj3BLlzu!?!) z3S?r@P>bmzv$zv#*zo>I^5-_{XdX~zS;SO!Sc|6%C05D`D?<(Skr6DYvxeDFFXgKy z^@4g|S!+QJOMfzm0JY!FCdy)zQ5{35^Mv4$W2j~h)v5@jREVloNszv!xdcfNy%+hx ziUpBaF(X0ZL|3B0AawE{)ul;1N=-|fiMcq!9Vgla5|XZF4UC*Q?q-@9cP=h!>A@c}kNvUpo&NEL6ZzElxW+es0uWRF&a zd+Rz12!5x=2Mi!^r^~&O*;DQf4cT4q%#)K-X%;d)ZJ&EuP=M1%CEAMtH3hL4@Y$6} zaq!HX828GeNICes{bNziF^DneT0Ws0 z`$Jbj^9)xc@S@4n`&^O23!GRZ4O~_)yqrWl;fp+}Y)HZMWM;E#njlec8VF9};Q-oK zw2z_130Hr^jy7KaukiZShKlN+la2oT1K$k`0QJGnT_6^LNE9ht2NC+|MPeb#c(5R*PPY)O$AfO7gVBJEc>S)`k z>;(WlG%={q0PgY`5%vL)NfiE4)yvYTH!~Zso*kx z7f7j@V<070HBvH~W26ugsS#OA5S|V$iF56k#aAY6ZTXv)>sK#6oYqjjUuN9#tqN^0FO`k->s9(q#f zj-oa9Wb?Z;Z`Q~*0n3jd;TqUozC!{l_4ew{*okUMg%eeh3c2VGB-oYAx9jfA0M7lX z7eNp)sOd+tQ$dWwn{a<{Z)ycJZ+MXz(7bV{q#STb%Ohr`hN34#F6)-td3JePmXjL1 z9}$?Ws#m|qx~B!HbWaIVbkB5rbk9a5o%XCs)(8=^C2Lk4hW#C}mn^w-@JQ?l1iP6* z*M=d7V(fz9DAVQhoevmJU6W;{+f~$FbXTk^7ZrV`fbNZxq;6HO{*O}ivNx0iPsLA$ zCWo^G@*~x@3kKPU<#jX=D5uFJF)#)mW{ly3>tRuzGKd_Ufp)1C^~HT*{!yvoav_YV z8-+qDuTZ3b&yQT&Lfnf$n!L6}`Q6x8f9Wt|{3#|?x|-m6Bu0@v4E=_<(}t&C$y|NC z;tSZ=_Jslm%y;CYz%b3(4$9>(8;|qjUwo#zi*%2 zZh>FP-f;awO+z;3(vpd#B*JDp!GtIA`yS1YSAwz zsJcDgll|B$^=!^@obrW7j=T(D5cAc6*RP1c?bYa&x)iluaphGYO|R5(Ycwe-f$?b( zBs$jTmto3vxMSk>E>^{jtYIrIn3Mh|GBC?~N7tB1^dwe5Qjb$!NP zC{lNl=bm&!-L~U8CW1(!ualzKVt!kUDhKG{aw-$%oXnzEY#dBds{!f7SWzg`j5W#A z%&j2gc%>Tm>J=A;B$L2mSy3boOoOa{Aq&H_bKkO;cyRx4k~0Htayj#(a$zY6j^m=T z!nChaOu>h&X4~$8rrzVOw)K0S#3GEkmcd-1Kx;rCuE?$oeQdKdWmip-)DtkdV?I#+ zjFDe~8`!h@nk@U|4(GY^@iIYVjoql$i~%fL7ULTskHup7je14e)5R-hkc^$w8xD1a z%n^shL?UyTh;M$H31@RyoI!I~nnOwpq(rHpSj6 zo-CBp4(Vb`pl3C;r&^!ZLa(|o~g9_i7%$; zXpPs|c0hC~pGw~=)a}xD82!!>Ud{HJVx|m`S`fn@PzI=KICep#IB_~5qa*-9S^fYu zkfS8vnzu1u0tVS2VPqJFpBNb^Wdha#L)8NNX6&lDftk}ql?c?;sWKbDnr@sGH}bN2 zswn=9b~dDGQCNMu(y6|hOamSGw&t)|%=+sbP#FWh!&f$lm%2HGfC;ZJouL$sajPE8 z`)Hh3`q_$Y`> zRHIx|PMo7(rVyT<*z?{c!~OpDHx(<^7J_+H)0|t746uYPqfJcJS1H|*23?Bl{JXl!Pj;{4iC*yuy-jCyCKyvJ~2r1@3YNzdZqQ>HGx##^Yva3nud-jJh&6TR&Unde&<=CAtc+6=$ zQ03@Cu(YDI1>lHl3C*Rx^ZD2m{=R9v~fl?wBT&_^VsfZaGKp5b&42Y zI(^YAZfS{5f2dN2D19XW+k%5#+vBmWJ4Jw^DJxQ}zpS#!En%9}M5k^;=TfI4?sYWI zUn*ROFtBk7V_>t^6&@0OPv}7Td6SaH%v7l1Npp;TIee7UmAb*77ztE?JZpl}fSEFZ zG+P3cVY+B#i4 z5=u@3#}?&0H5)@fiyu=i2CSuJaAn2yRNH?re)lx;<;WN-rHOv=Jgu~}(W%K} z`(TzVORYABQ>o)^s5KXZl&M7yv?`#(NXQ9M(S;_+TXlpP5b-mAngXqU(uv;q0hRl2 z+Sv38KT-w`Nyn0kSl0>_Cwovk`J<#Lh?z+zVOA`|Xp-qX(e$IFJ_?gtmI6%` zW)YfNl!2xcWdZi+Ng5lus6M#%T0=>cro3uY{GOie{bv@b^N5AbKL>7VE&{&<~$}GsIs(@n<^|-y5iwQ zU09k!wfA5Ojl#8OucxKeHgm+bYxseT0;&1P0xdCd)n;&`mYdX}Au$;-x3m zF|j8*i0)V-70FP1Ku-qg60YkrSVa1j%DW71$P7?>O8Oy!SnWA#&?IQC+97_ria{u< zsL`~$wuTfwr`oOX)skGpta{>urFEeCxiYJsOu#n#*~q;|IPwszal!ZgNjMUwTJq-& z*;XTCRM4X%z|tet%_EFr^2`i8C9xN>?=>t+ z9b7#u3WcsH9dbs!l{o4d_QKDtGELoSQ5TY`PYkmW<1bh@Uwv!apW#CcmiWFimS59odso4kgYCBvEq& z(+pBs1ge|QjxHU6gHj=NkNnql5i-{_>;n_ptJz93s(ly&4=^|3Tfn^OPN@P?Qcn_5 zcoT<&>NfVW*>@LM@LtVYYJ*#2#HzaesowmoZWmyT5$g(f%{dGM$`%J^_a^qp7wotB z3yRP#qb350l!ljhrmj8wrE8izh!*sCL=!!!tnz659*UiZFd)X`JFN(xCPr`P=7 zUE2h`HD9XjRByBFRJJ*zMX^o7`Iu_CO$W1U=)nkPYnxDR3>DFbNxAil!eqRG`&?GP zwrY(I&NO*XiY1LyC~{vjS#Df9C-rj62^olEX24~%sOTwLRQRKuW7p?o!c(d4%z0o@ zsv2R;;QnD$hHp|BWiVS#2+Xd&#eCk5Ho$#2a_e)$Rj#O}FUuKDF$-KjO2MO;o|n&E z(P}}H4N*6(+M>vtR%KB*Ig>mJ(f-KDhsC&4_ysw~)3h3&3>!@$`efojWw64k3A4`- z(d(JXNpc<^U08b7<9>VD_qMbnNjPv}mflR?)QdcSq8JHiPO& zI#B;d2h|lRL(_@FX6w{cL2mGFJgJcaM;epU9*0U+g7xfioa1i4JxIbdp`PJ|b|jlS z3y)J)fpmINZtI+gegw)eR7qP7(y>Ro4+hTRnu@OHL(o9g>`wz#!9d59k0pg5Xe(n} zR>7j~rRG#*&SQFiZK!2JLLWO^667x%;z!X^q&^eRy@y!^gThH00?%z@Ljd(=yte?W zXn_FRSP|+e{D~ETN`JaWbt2b3PugJec{Vl}`73F{49Sz7PE9DU$mmnTjaWwBYfptp zj86AwQG|mvDe|EI$Vz!9AM3)l>*rouOBQypK`lE--1Qbx2MRD-Zv(7Qg8RS%Z`Zdh zpQUcb-YjP;N1&(55hxx=Hgt-(A891HsMj~Q$`}8%!EhNv$UM|n+9wjAF@NvAZe;qzPPJj4)3B|)}>%#LuWVjgX zPJeYWmRgwHtOu%Cjvl7UjhyE$|Ic66cB)y)oMJ-Z*s3vBvF40Un>G+S*^KwVIdRoA zX#x2gi=O47DqMCTQLXY7sUwxuF3qY^B|W7Y(3z12+y+MYwdD-m`W{3D?d9>yo>Ov= z(rOu@JZ*lF!i~PM4nIP$bvB72EXY8rMuW!mYoctPHowNbP5o=s-FP=pn4UIolU;w` zjkKg2{G`Rt)Z)g|!s(KPXivV+G4^T{3^;h0PcLsn3H3H!-_h4>_rZ}Yh$1BasVOy^B##mzuAKQOOP^kvUAFN}E8*Xf*FUuVSF_&QlG2N0qnn~w_sS>(bD z!2y->RX1boC6Q0i@kHaN&=NOUW;NP{650(ODS)q4mM*83Y~YcP-&c_0fdcQ)+IHrj zN<1f!gfROkXe!xklaD7IoPJwBeZM-9LsOHKW|)sx&39M9mA-r>*N`z1%=5@#CNS$) zZS{g&eV!Kv)z^D%1vcgCCSCJ&ry9ZLgJylW%{rH-3N*#*L8MWba-(2q{659ZBBQL$ zI!_|ibx=ZVSYucGJEJla>W>^u3%_FqX*=OQ>EkWMz~J6-K%^-2+biw`ih>1R8Lab2 z13q`Du ziHHdn?=KmbNJca6(oD0@Ngr>?St3%Ir2I`-(_MVxTufn2JPcuRQOn4n;44fsL=h>^ zLI3)=@u@WAvQB)(o1W}>6n|0Yr!7UTrrJ`QL6W`BK}3A~z9ru_s0B~-r{Ted47f6F zYAf|IH@7@X>|-n7IO7f9o+s}pyvJ{5QeHR7Z1M1X!M$GDeu=o!=1bgaP+z(|A(DxD zKAxx#)YZv62Q}2*HwpUZ5VwDBK=rO=puh@{jaDRFV4n-Th&+k{Q zPrc#$hgtaq(`&Sf59R?N6{>lX^Hjk_`}r5HKw1z*<@5tryCAApY**sCo|r=5;M*Y| z*M=)EN=`G|LiMTb>7b>X%GoLghj&&nIB$?#?D&2T?noI%GD4*d1s>M9yZw<`G7TgZ zY^dTqcGv2i3w!&v6dCy1{2`vouKu2EYRIQtn~21-`D%l;`6~xDT-MV`HDfWX_l;DKBGq(LT^*rF3lkWM zRF|0BlIoqp_2qwRW`rTUAg{C`jB?$ldt=(*0CPL*h%d-=mSf_-oa}FBa!e@8hPryi zYG)p>+HX}v09x~=$U!kte6K@{Xva9QoMbHDKuwk7$hw3cPsFqH&ArSOTGCh3>fue7 z6in953xb|B<$D%%GNw37EoAF*$j8V>Ta2 zoA7NA51U2=ePLD$nnANGS`2zt1oalwBtkek|NBl&Cs9)dn+G+WNex!T#Yy(TyqpO= zYI3sakrh)$G~c0HE~!FNapqY0x@&>1LN-OGhpQ3+LcIb!k-BBeN$7#W9r5y8db-fpJ6i8IOh$_VFna0oHa*i;w-0yU76&+*%yKQ8Z zrguP|LjFzJ2_Z9!`eb`1&y=_?Y2}EZF^M=xleAt?wL`WH%5cuQta`<6ejKP?Nt0hc zs)WQw;zehr3@UO!Zpvgn}kfvG3Kh_`8}L7rH}c%iP53SEpx;KaESg^5)p zt}&TO$46;(HFfIi3|?yo9YtRB~DXB9CEhwi` zlF{zvY}zMU*XHjVN*+SVC*w|yiuCL0o!p>=ypgD~q&0g|Z;HtP=Pt)(N&u*LrL->@ z>jY{^gkw0psjf+aRm=V3O!EPMzh>0~JYCiMpv1tzQY3a1nhS;_tutEL5g78FQ*-20 zkWPLy_r7B5DBrS5KO0@kJslkLAUC-|7x&DRh*zMoud7j@Q4KBGyHDgN9g_81WN(-v zqTQwPD1kxtaclgNB-@B2P|%wKK~~&6U6yHX@6!evCqVZ`>HIin*DsUvA7=0Ib{x*; z8*x2_txThzs-SwUV2j5@MyYW-8fZfH8_G7PG!pa|6|PS%8+ZKwnp zUg<`ufzR5YLq3g;qhuTtseKrW1f?F(`mQN8Jl!i@w=>prQ~&)2 zM%^?7*NivH+f?x;7TW+Q-7W>A@D0Q#5)dqGLG`8UKxWQ<+zknzdv78b4wMXxVttY*X>@ zgX&S8rh+KG@(cDJ^sq^c>DMf1Q~UB48}upo5M1l@&-7CJFRI#^ZBLJA_$!pjWTAXC zWS!n9j7ul0-(<=!y+6pZ-`6yuaZ^T31PZl%4))ES4g_nt76G&E zX1)@?D9dUDclrn9qZ6x&@9Wd%fVFKGFHCdadA$6~+P|X*3fQ<86#X3s%odw$i4U79 zWv7&~kIgBF*fh>>DVkEi-G+rP!6Hio-!cVDfBj9cD13Lx@ZD|PH%M_snNk!kPMdxy zlbC}geT;$^*~sCkt70=xVK$A##(FtiI@K1?7-pM3LT@7PiloVs+U77t4w@6cAqNd1 zPd1bklOU|fLsb8dbX9`UKO>`Xofi=%d$Jnp)5q$3OOlNUtA<92?qst}y36pIL}3+v zlUwM*?+wVOiqZB3wsElN2ew)t_mAIWu0Unl&XkKJ%9RKc*ALLPsV1;0Pb*6Pf@Bf* zMP_AkYC?!kcto)_zvolbc2kI1D};d~p|^m%YsPay;J+kH2o9%C~>jo=$U9XcP`nO z#fL?Id?ZJ6x_%kU)AiH&IgPUpzmz^DM4Oh{0{X>u!IyIBQc{{oeWM>l>Jo8N zsd`4kAlUXkMc#@bS$J@G)B6Z&rtN$x*-d^yvC{GDio@BPgPrH%s_5;GALO}aH4L+B zetd2B%iGgt$hsA4prkqxcI+bWI7d8&g+=Gy31Y~78q%uQlfKk?Ey|-SW5gVL?~=>v zs+k|>=8Finh3K4_x^gAH6oo8zl~BO69uz3Z-03*+xkD)}K$KF$Qtd`s8Ohpxit_F5 zsa>kwyBM1wl>;k05fCdQ-*O2`n8ry|>}*DZze*Q?At ze%)dyf8B8a5(rb*Z>C3C=H$<1J3)+@455=h=PU>bjB+k&0)5a$*tWyn7<7S36qe3`I{ zP)sqSss}{^Es;mngG67(=jsc7WjG$6ydpqGys#-($K9D{B*7+xra;vu4JWX3B!vD# z0>Bdrpni2dC<1D;6M*pOOg<4tOIJbU(EaGQbjDI>&1|~>k7myX#q~<{7vvTcF$2Ik zQ`2(G@mQ1kwJkWoh78E4lR$f0&e)zpwgRTgD9`EuPJHtNO1mgjp5%;J+#Hu*84j{U z-4HaFmr1vLoQsGdJT<6brfX1kV=>{iTlmTLWtPZ4> z&E)h|oC!(DCf9jghJ2}E8|Sxfv&Bi5kK^%r{J4MI|L~vx3VnF4uRJ!*w^pJ#grDYH*U+cqJ8-AR$Kq?c;r{Rs0_|R2 zV}SNxcIyu5Ismq&Q*8ERhxbNo04W}cq`Bk5L{>1r5VCsMI=T>#;V zqg#B2io^dr}sJKvOI>SWS>+SmYOw8BeFz zc63A5cmlG*6Oc8YR65vr;&I~X{-o}A2cFI!=YXcIj5@VyrV=#IDt^#I4iNwsl;it} zuP%ujR!*V@GOj_f(Z;3a|3gubt$l*4&?0E{(9l{ zf3a{~x!7kySza&fo}gIxiasYiixAS2yQm*}iX0ezkbprR)ZqHBfw_I$3=FrpydDWL z%L3*u=@r1Jp~^miK6*sQ5-jevGlpyulGBlpzTQC}r4|UIyztSp+TjGuB@J1$k9j}h}chFAP+sFBFZnbc&X7>gSqo!D#IBT)^-8TkN z5W=CM2b>qmr>@ZVV{ECF#6HlBwcBg^wn1yG@r#8uK$B7m4N0BAcS!@-ChhXpIW-O`-zO zina8lDjckOEzeam-)P8OzVrZ1`mf8oJ}&hDz3`<6Xu35n&;#rq0fPxTWPOat`hIn7 z9s~N7m05jA*Qmp?bX}`EkLJ~H(F&QLnP19SAVwUCzHfjjQBNj)3k}$d0rJ-Te zZwQqz{lKo{)zE1AL8ckh_o|8_xi>|u91R)wNBYp~!zyYq`(o=yM*16QO2*%BZ_qp* z4#)>)Ae_R?o!Lt2h2|r5d1uwP&FjWW#y~%nX%h}(#i_eDI2tSNJqxS=$8mk4TywVaIV$M*Fr6!DfzyR0TVO2H?uIKr^bvd`+*r-_B$|B;{I`b^O_&@!!|SzST>co$?cg)) zd{^Kf0yDPuzcjEDn3DF78s;<3iP21bPQw6P4^gX%Uw=CS<749nyYLlcAd<9pwT>Z>7IMn{C@*YNqzw#v!uO0Z_sDb z={L|MpN?pE22K3VgGE(&qAFUdJ@XHPS^5 zxV2gsM^?Xn1j`MNZZ!YF=1XOUdD&-+(9JKb;QGzVztV@c%u75bjk`-!&6d-APdqe$r#~c)0?P48XWY)`cL)D6Le*x$8QDSNWCJ zZ@=Eq-)Tdi-5x=7LU-j73ebiWfv+>T#SFV+fZ@bm0@aB3(9(@@qqYFRsqFlp2HPGIOCq+L6g1;C$~cgI;m2*(Z6A9fpU9g5U*i7~qA-FOpd*)kyvLBMN|w0e?J-e(oJs)0VSk zv$tGPksVtW@usS=q1FegYNF&uQ`jJ0htQBZ8k*OI8>c9Yxo0{0V-z9@W9~}8&f>>t zsdGJLmDu*+dy-0LH`c4Nkm5PJRtm74a&iKsPde1S96Y(@@21Hb;D&yfwiP!67hiC5 zJbfJRA#1rq+SqX*AM701a0^?^!4$TU!OIEUU`GvLsut1b@V|gIlC0sr4TvTniuty7{_qMpJANQsn7n`iE{-%du^7omsZK=+Uyl zsqF=1(t7?RSV?^ZrQ!$2$#!k?1$p1*e_Gc$Ee(acv7(@x(h34n|lTGjy+u!thBZfR;IRrBt17+GI?>_wxCzOpX8Jh8zwc_ zq$QEAA}UE#uMAPk4OWjPVN8=qW*ki7#z*UrB>Pic0)a2g zDB(5Z!b0iiLvp`BDN60$w`_N`NrYBPO-^4ZwL+;2$5BQ^BUH04l}y~pLJkQaE}vIm zso`^!s%FK%eh4M6uByZ`qldd}Qh3s_Oad+i!Pl*Rq#~K8Mfq;XKwZw1;$jzeP>OeJ zdj8irc@bPGMPVnEqRYFPEzsm80G8FOayKsOcET*Rrdm*LHCbl7u-{MECH8X zz7KJdU+=eCiKYaM6~~V|aim5|g1BUhTuY4xG7X0D%J+-OF#VR{IuN+&~|SFyKVKL-G+J)fZ9!$ zG@UbdD_Mp~c^h-EF0|Y9Wg+NX`5XCkRL@|lI8Lnm!#!J&JiesWHRQ2QtmH9!C?|tG zln9OUJA{f$v0zUCw6C8tbItguQ7TAuZ)uU3!ZsT^Il) zub85gQpKfPry*88zXawB>_{G8KDo3?CtUJa*hzV;83G!`ERbDzKgTd1vlkI$sj<$* zx%|=r^}<^@ER;auXvSx%`_eEG1YPHS?Iw|7WN>Hm zKCKh3vfJf@oGu@yXA0y;Ii<5EPJ|r-nah|;AXC!65y&d(uK_b^1FYFD{+yO}Rc|M~ zcds$XkT5qvYy3|Ma~=PIjaC;&6SNh*CzmCw5$)0`8kdo0mWiih1nZ(;-b{NiaCaK{ z%RRW0?0=*%?0F}`UCVxOS1ts&D{(E{Xg04Za>MewBI&C!{;nIgN3o@E*v`hGJ0_|W z3C7T!Y6b~(Ayeb9)!-6eeQ0aZvn589^>z#l9B&aEAfT}a15yVS*ngp()(67Ca2Hf> zT<$_qh`aR@FKSWNKmd{Y!6AY$u5P+%Cj31cbbT$JZW|u%zFlDz-ukI!l3CR`MkWl6r_aIQps47Q>zaP$f~Qn#D%H|ts~b*n46E0go*xx8>#M1$*{k_r2R8uw!G1nEkBT*O7(GfW(#K$ zb|TPwT6UKV23PXfAClK8{YAdJ0*HGMD*g2+?Y`y7)?Y$s>Muc<UHEhi;K?6JCF#3IS)^^F ziKI&3>3Y2pa}!B9kpRWfcj_@PR*t90xY!_%L#h3?*f2I7#_@SGc?ac|ysydCso*5O zHUX5YnnUA?V&m(0VTzcg9q6N_(-8q-&#EXtJu$286qG3B<{(SW!{5legUsF?^6t#P zLt8$o;Kd~Y zIB7^o$U@RfPnEhEQ!UqjmogD@Q<(_4k0)0gNDYwda7BCs=&rimQ;Fld z(3hhopW47ZTBSlg(p(?ceukq`fNtkZ=k>X){R?euJ zlB#xuw7O_{Sq%iSQV<+E@U*!{;{~5w=aaNrrK7I36*`Idw`E7vdZhABQGt+t4wA&f z#{wnFFUSGG*k3B=87Cw&hCaDKK57p1)kCjWebkxVy zd!ppUtvYg*x~LfgN`=T&4(49TKUj)Zrc(**|GBI?9L>pjuMNRpmAKmi`MK{d_G&W36y$N=~fK{eX`x6SLGC6}C{VY?a% zlG=(6?$VqJOBk;_$t}0C5Bzk+sVl0jMWkrRq3Vg1!FXV+P~KB8pw6_8jZ8(Dvl9K& zg!9SB?D7>Zd^RrI_EjdbGpbnG+l*>9x+>tMvxW_a5E!4>_ z+ZZKlS%{aNM&_?~fEEJvpY33zC5e$%T9WdhjS?c5rn?Y~bB*RxqKpI4xX9~~8p$|< z=NO(IljUJOfiJ>|$kh(;CCRH>Z^RFQe}MKLBg?{RbnZ?yHk;gC8tvFX)Yo9P1j(zq zre`aOe7HSZju!POQbOsYn0%~-b^we}CH)%sA8GAbUS^0xs20RkQ)j`-hyWE0hjXA= z$evOg27_jV=kQ@q6vqt?)6TQ7ql(ByMmS#+3sqPE7OEY_1bt@Y7Z`#fEfkWapvj-$ zL@f1Q6XsLwTp2dhtU}Q&lq@AN7`Qp|<0S6q1x}c0hXZx8AtN4hz&IO{^dgjxVe|x)>6tDIDC( zg(wDUGBMz2fIM-AzDuK7r&C6G)P!sD+Mam%K*ofz7kxorh6-L!NZYI6a3==YUJF8P zZ<@54p^%#0`O3#?o4(-W7Y* zVKV16rRc8RA}60U`n%<%&a&hrn=R8;r;&ww-a2`~3|u;y1;O=ZyT8=9P$n>s=*Yz~ z-)90}fR?PRzRw{SbVMa91@)Abng`=B17xL%tWJL^DtwHna9EYguYZo54co5bg$H4_ zt_n~pL{;{A5M;Z)kAJ@I39`Gqds04$l6AUnDve2jq@Yrt#0V@s=q>b>@RAG_UC%m) zO>|v>!@8%5AV*DnGiqcRSCEJb!(C@K3J9L}avJ)~nC{V%kBiw$@=-o^tHNU(hjVOKM=4m)yk9$<1unVsLYBgw)0CxU_1n1F2_+dey_qO)^ z8}^M6Cq+3?C5*G4(C6#1j=iDpO9EauBqIF8NzoaVkYqz8Bz;C9B+YU&@@|&HvEbyy zayF*!VU|b(Tq16aT~bj`OH}G;(bUCOu75s#*3NWY(ti2--81>D`iAZ&VfgKFbbrSL z){7ou=$A$WFci8jpU_hqwtm!Yc~(jHC%;qz?t9qWYIS{Wv^8wq+`}&>;Rv>#Il+^{ z1##8~MnZhkke91h-(>5GZY{DLNX!tJF3cz_W>E<;u8Ml=_7HFWLE*>O0}|%)-h2u6 z?z3X~-n`=Cxp|iN!LT|JJ7>~333W;y8Lw^G6f;o1?yOBq+ui<-|}4!#g+? z8jyTU=T4=3157objd{HV3>Q%~Fz&AiU~mDeOaI379BUu*k7isTq!KaT&bUZesu>Mm z@ReVvO)XgN8dMmt5YvlV*QcJIF&u`jwA*(REOOy$N<#y_AmLf}d23C@STY5MM0c18zW~O_D35LnOd|te3K;++$Z7)F7z`jt!+sTAP(}RB zgq{Q@CHa-9fCi>?+~Z{XH!yPqw8RWEqm?-%cq6WKB^WP)r>X>#ke`)cA?3f8WfL^x zsZv)%eB36U0Il!@Xl~7eWS3PNt~h{_Emi|$nAlLzXn_10m}(|IRyAMaiInLOtjg7< zU|lrf<&%HREIBc<&J9S&O61c#_6phYI9kB3*f9y&Rb6;hR;nu~ zT{!`sP|1$oK=|kb<$jCpmSp~>J3T=w1+eU&Pe2>8FRED!w76qeC%Bf3dPZPDBvgD_ z5s=Un8y50T)plvQ`Jn{hm^p&{s+2r71kkhK~^6j$_?RDeRKQr4-OLFQmO# zb~D+Bcwt#@q>(gn9B+!{tr^!V?oC!72E>XbCFOap83D5M*{X7jncaZ!1VZqsM^#)W!A6BjIB6Ya_r_-05u-oPkVr=UU8!VXOZdza`<% zmt20Bgm(F2yEtF9T|}j#mYU>&6!&zxmgx}wR;GVB(rN-@TwLA_0f1>-I9wLE0GNud z-(;)DrJ-J4w))pzQ!ZapoJ@h2Z-ImW9!EhGyN-esSq*4d+wKVg8Xs8m=sZ?LJ#?vH zY`OmuGbP5?t8bv)5Wof66+xJwF{GnGyPo5oDS@W>Cj}a2ysK66%&=3wmf{InN%4#8 zJvRhI>Bpqs!=&E`uFKWrsmB|_yoz*{?SvWswcJivN^V~&_CKxZVG|IUn$~m-LGwJr zb(}Sp#zsAOF)@W@|7*OI5WiFz*bw3yVtP(uLVz?Z+>X$&te3X1$h=QjzL|YdLi}=n z17_JL_rc|KT@;t2KnIs!;sz0OxkUPw%Mm$2%fEoesCm3iFcdV?TIypGG+UW21AoWt zj}q#HC13Y1pMFFnx;#OWtTd|TN~w63n3OI2(?y2WkoXxA_ysiDKSevAK{J?HRRzh+ z3!19Gksa-r04#%ruWsE#kOmfhw(Bk`S-|}F35-H=%;9|e;rE5qGVfE~J`ak+R|FjE zt|H(H!z(}xjS>)L>AdbxVcTCWy)5{PM1u)bV-DZQ)LPPyKe zrmmvPfT-qZWI$Az*X|~*%H%M?U0mJwt<0$G@9TY%VP5PsRud21p<{j#tC6wMc(aO% zU)=6bA^=&$ctm^KEgQ8^$lYSAovUUDVr$~cvdf0_JP+c{@tj|<(2L4`V~|a zs8Hf%v@txY;itY{p>4lhBRe!UJVORf7d}VZdTqj(^cT{mk6jCE`Gq?-IoknfSkECP zGFw2%BzOV}c-Ek$k5a*!rO;7hw@@PGl6Ab~CHWTGL zURxch%|_A&;t31fMq1MqyqjJJ8>RSp}bSP>;Ms1z4Sx!qHt0MkLm#QRvDs zgpooQ+4RWAeJisXt+LQbKSyP;DK7|9neurponG-cmSj$t$?7P3+F;~t`Kwo|>%)J! z#?Oqu^n7UlWi2~e=SbXWRB`SFha!s)>U}eAV&g)=)ay^G3=jNxSyiVm02xyg2d_zP ziGg*b#hT+Al(T1cSATNVZdMo|0lML8{V0p)#TWXx8^*;F8tQ5xo0pn~Gy#pBha;}& z`t_71PvUB5`RuqHO8%%)z3uHYMENQ+iLkRCJ%s#L6=`-;JUM}Ri!0}5Z=q3o*a)*b zdAC%rtS`~4TG{$^b0%shl!DH-3wB;VpkZa%SLpsUolkba(8AF#AA>^*JcPITI?ASy zMn#>{(Ci->l%IN%9vnh3f$x*ZlcuY}e+lt*SWBnP&w_&)vOCZn^v`rC0hr@?F1IR7=0Fsxh zTCKPMK+EZ#N7vZ+2d^PKlF5(M6Heg)xtH*`QysR&C1pA;u8D&u37MYDQRC&g7U`n+ zW_?448LYtU0^L%ph2V1+2GuJvSH>H~T<&g-fPQ^k z%+{|}w3mb8Y{wji%Rafi~=XTzrkzBS64is*^;+FnAeSGFT zePzR)+s7WjPU-$-CLMa>Sb#(3YpW9AfDHiH#eI%cw@3oS+Ny5ZNC0Sd^8-{*^bcS? z#}BkGQNQq_ToK>p=sR`PCdrx9DY_LlckMucfCP6;B$-L-ov?{nYnmP6tu_W^GEp8_ zbc!kEU$aCHV?n>h)ArZYZ{7{3MAId(AQ(NRA=1X+SEj|4-FIEcsG|VIwf#j4ZIR*2 zxh#>01K_L0O^tA=NYS;`c%u>urvXw3ayIUv!tz2#x!xy*K4F3CN7Xe|n{pwrFK=-e z&@W~OZ)8D{mtZ5OOxZS{d*(-90Iot;84Op?yI zN0f!eO_0{{Z_zUnc4?jM>*3s@U($O1VAc$KOGd$*o~K{1PMaoKSsome19b7R4l2i& z$)Ix*F&Pm9sM4?c3npf!VEG9dk>$tKE3P5UFJbs8{88UIZEu^^8=jsuUg_d(Ov5VT zbQlS8yiN|Rege!~eOy*J_GW#oOUp1?r-_?>nz4v_JTebW2Y1ezt0FCoL6!d(8tKfM zN69NS`qdU6Ja|shxb`TFR6|D^I_l?!a8mpP4UoYjF19Gk=0)q=&^nbEYo((if4UXv zZNbXk^yGN1fJ!P&X|%VhSU$OhUhj$GW3(XgYuKO0kgOCYyJ<9E;)~cu+`$mLKXr?k zu6L;$-{o>ejf&pI(rOCD9@-k_$cbuOh`&%udMCx!Y_ip0dPOp^%(SRM)OpyvrfahB zBgalxi7KqwJ!4X$ciQh-l9(q_lBD{hTs30__`deD(i6J$jB+dO$gk9=6A4l86y)!M z$qWnCahgJ;VCg=|vI#vA;JdoMQXfi)q(gik(Um)$_KITH^puLQD`>%HRNETi+;G4U zc`uZChcYvO>;LFT3nP5g)8R}BrM4HJ*9o`D2gEL8Qm9Kl`gg@J8mXY_n%IGJAXM zXR7Jum7DQZLM`uDOW1g@$z#Ag`LpB8!Sl@ji zya(r((R+vSMR?0*8l|mVr_M3C#DVV|v392+!%n8fUHop6 zq_`nTk|1miU@P*knOtGJ<&Xv+&G0uYoHl+u-?#BLgBu(6vmG^9r0gh>jU7~_I}kdY zRbukx>?LO9fUWYG0EzAo5jQdtu0%9;pe{WRvznFPiG=IEP7&sH7>We32PYj6U1)q&spHmk1^cgM&2 zhr}Io`+fXbkbyCjw~|1z^a*9s&;bs0FeyMllLIM4Y%iJcda6y^@Vy0GOutAW^d($( zTG4>3=16v4^$7D+(qS1G-C=>|waF|4Bsy_au_5dDtT})Q38_up`*ivSlIQwIkW@8I zLm~`ihF2KM3~!~AH9uGkq=31cU-fcOYaYBQxtyNhVu#NG`8W!U`)f_e64B?) zD!mRQe%jDyfF(y!wdhKALB~->Ijw&L$>Fu&JRm7b0Fv%J#GjBWV*@AW07;5v_f!;8LzB4g z;WZwBHp=pTw7zUr)+b$=?MqOrUonBpI6QP2?4BH9R;p83P0hmXckFn|ao=%s$7%w_T1ml}y@Zm0{jLVV1%6Yiw->Aok!|lp8QCT|TW<|N0lh53j zIZs!{lK4OuJG2^X`aXsTK2&5nAXHJ!km1Suo?Y z%>h~3WSXxKvwtAGtfqpT^Qv=ovf64oh9yA3!my)n$sS<%QzJlj;TISl_IT{H2rA8& zMx}(?#MK4JL0Eu3wT`)nRjo8rTnxlg@fKV{gUl})zxm%$6g3rC}1Kq%ZA zdj{IV0!5B^H$LFItd{a)1T4m3gyAIb$#I&13h$}XP&!+unR**cCwv^3Gu(_3ms6SQ zC7G~7LJ*D%6vyFSxFkIfx7(a_xNIh*f@Dr6!ORsx>%_ckoCfCGH4r0^;vX8ew%3%e z7)Af&vC9y2-~{}gXcG5gyyCBgT^0O5u9jWMJ=NEFS+2iiS6I3VZOsU}Shj8~%A+Cedot?E5;$8Mi^Mqx}^s)BW7&g@rtr*T3UNg0c%^j(|~U@6GL z2^paQ%cdGgB`un^Btb4wA?G?xV(AR=^3XfwI+}W?@2$P0?|ow$iU+ynX|kSs;4#yg zRnsz9Qg@vd)jm2lgl-GatD9BNy3{+*rGmVJ&9Px;&?EzV=3%JS!7D`Yec2yrB{_T| zH6-9b$yzmV6wNvp zmNmN{uvl|4l8PttB$t`~Y*xCkqUK57^S!gtx4vGlR0U)T^Ae+5HC zGD;I6Txfk;;-V;cp~Z%d2|Vhr*kW*_0|T-m#-ZFf%Fhp_+$tT)#;uYvRAER-w?_=yQjanaH6)PM!c8Ep9Z6Jh~#oETXdC3<0yCt^8#8Wdjn|8{4mFn?AR?Fv2RD@smtkg6TDEJS-R&oYAlS1xDVl<3CZ0)3>K@y;_yu2A-sOy>|{8TW$09Q5%M^#tIsB;HHuiolb#6e)k7b;ZrK zLB%~c(nU@zOj3yb=l}V~|N58T$gl-&D^YYO&{owtTJ*w2%27Fg#i;PO=0|+Iq))_+ zusj(*WBVJ@)6l&!_&f9yed6AGg1JtAW@Ata$RV78YW@4m`B9c#O=2px0AwGirt|$dUQer@nt` zJrS0Pw{=Vp%I)j*OCQs}{jY!h+v3DWmGixvlfoZ_g9NVN1Rg!jgpu-m4o>E=se8FD zuJ%`-m`}6=@FUL~Kw}{J359~DNfo&16;;%&Y+}V{ZI+qu=*y)U1dfo6H=98mdr0mV zYU{fNmrD~jCIUIv7ijoyTj&-8_hmXwfw;c042|%}t%yeSlW!0kjldBL(DO9j zu4uD%Tl>?~c7GgI?~gNfx|;9WNIzrz=Tn90>*zSkxGw8*rNS(AfxnFhqUAKOX)GXahqts{M>6Xt++vfg_2egv&}_WWX_}Wn+9)UxX=!#A?~Ha!GW25SSRh<2F~<xf?;>&=6+Q}_mqk82$`78Zy8?6b5Ud> zp>Q$BhMtU%!MDB#sQ_i-fnw->R4;6Cmnfn$#9OWw3q_acSG&5htLl_&gOc$=quzoJ zqfnp0xvHfo&Q&e`B(QDPL2QzKxIwL~gMT2)*8SmSNqZ$;n*bxf_0>LZ?4x=f+dE_* zBk3mJcawC>iS5Q=-hiB7ns%FLW_nP3lM2#U>GYjsf2Z&6(TV5u9Uq=LeaDBLzSB1& zeV6;E+Qp&Iul9du|1~;$Fd*lcj)C@Hz`7uQ9-6t07cn{1=Y1DDp)#zTYBx{=E_DEW zIV)7qiY-fY<9JW5+6*#{q(~ocW<|c*-h=I>%{PQ1yUSRqb{DZyLzNW~c^ql@Y6D0# zimc)|GF1>CMzu(Mb74m}TlaC~5Th^Peg4yL#8C`y1@7Hm%gV1&Qb>jgB_7 z(&|`JajyF>fBgUc+s8luzyI|w|9Gm!>$-?pMuN5v8(w~F`dKnLUFr>^5w=kvH57L^ zA7nJjo^|;dZ(Gf)hB6e((q`+$neBcp0m^o6n-G{K!)uduzxCQ=L2q0hhaEcXjJDCL zc}|+c5EVbaz}JaTXW;84_La4%LRZx=YCVlgNsEfrQjaf_d9L=?KmI>|{^P$c+Wr0S z|I7ci%&Lz+{p(-l>r zVJ}EmMsdGVt%_GBBDzRdr|ppYGeB@!Gj%^O{17cv{id$z!vHN^A|SM+g;r>ZP67wh zGCj6)RifQ*Gsqp29%Vbma0T?7BuhLvZv~y2AkJ>5p{n3J7)}KPWcWUkS1_=uat^>y zbMg!W6GBS!S2)5dHS5&OmMG^`49bc|s%j^EZ0NOw-qg`$#V&HovSOh{VGV*Iwd|gw z>18ieNqoNSNV<0`gI0ZE&U25W-?u2^I^N{B&C!a2Adz##h45`oFm>FVYe+^A2z!{Z zLAWuD{L_7x3h}-}g&5oFN1jBOLF=8XE=I70_qOqhH*4cnrmmekHqI|o-)E`mAROvW>^A3Dyy9!z!t9^J|>TuMVr&%v8`3uY^_b5Sx(gyQm{I!!cjYAgBHFgWhD69$;(wFArAqvg1?N6K*((r6X} zFRSz+OP8!tqbzisQ4@;hL*Lcb1`?jGl7LgdkGk;0qpH zIk*!dG-68B7ptl~0uG}*0_8t7j-c>>RTWvfovT9oDJFYW@X*Oi@X#cnLIiBNv|{gv zu44Xn)$4}%Ka-4cu+azM1ggX_%A?f}Qi7AW+nr(r>G!s{c?M z&-Aq|Cj}R6PTodv(OAKAM};*=c~i(fkSK3T+4y2tjY^06H1$CM{UH+(HQ9dOs%YDH zX(*R`7|(ITS`wwnpfQL1$|%l7N)1CQBN5P!U{Iz%kpv8x6W9p@ZDgOh<)cy9 z8Y9han8ryDKEmDVqz4eWlVgLGXyw=m5j^y{6m8Ox6fJ95h)@hJg|`nQt~B4bEPV2X zBR;xFd&-{H&7H3ZJ}7N;cb;i%37rr8snQD)Z2H1s(1i&iG7Ho;HWh z8Ft5P&OT1TX>U6nUFqm(aoPz4C1USwyV8~weUaqJ=!>jXwa6O2OBADQ?1X5l-3h~m z8zlmU7wRwJ2=x#9`4aLvt*0Tcjh2}V5=fB@+6fX@Ccn0@2OVZ+NM|!lxU9J~eZ+WB zwa*wp#M=f*QQEf?A{F9)N~0}DTRg`>IXpDYnq8)Buevzn@@`a0dKVs$-Xji@C5R@L zqY7Ub(QO<#v2>WcnrS-CbSQ}a_NPDp@!$T}-!fB8Gx*Eq6@2fM7Qy#QX*nfMIYXkH zjCdJPU4QkylsWU3!mlzfj`i#A`3!aNn<0`X^S3$obk+zm{h zK=q+~M$I#58W34e8qkmKpn>z+={<#9=a2Zk&St7PC9;`u%UR|hqf9LGk34q%Q6F~x zk;hC~7mOJI{SL?rK)#7RA4d@?Kth^YvfZRh=4}eT*+C(MP6;S~s zWTZuls0?2b6^~n@5)4UHU+WKq-#rCzpB@6e@r3>;JfT0Vm@=<{5O~yEx^Q*EMk+FlcBcSBb&gAGy6sVylNy(Ewuwll2GrmSO68{RfaoO z+AJLRSBddlJ(`RspeEx9sA9aYfkGlZQVcgxWMrG~&^E<)l-*U+xC>F0p8{2S>Z$=A zh1D<=Rij!8;%gg$SQGl8o4q!GZS@1cnfl@DeIoU$GpRY5pKA)$45Xw`?WH(5c9xvX zV{@`TY)btg|SJ1x6@VYZfCLykcY?w~QWI5*8v^El-`M1>8LZrTn<(iO|UCQ;;DwM)EMRz;#M(e)6yA*luqrB#ED zs8s{6Zd60l`nM$dND_TFV*zYSqWtEsNt6nhs-|xe<>#72Ric9 z$_p|oGz@@4QF$|0v&0mH1#Xvx>c?90NoQ?Ljxw_03|$?kt^6mvoLfb%M{}^!Hkn9r z9@IH@!|IxLjg`y{hENBV`TW8Sgq}Qz*UT&dCnne1cMVS`mPIJmBUo`_J?NZm(V{cv zCs$)f{jzU$veO$uvTR1AhqRgzaRt>MqsW~i8Fb23r<+PEW;alE^ma9yqB?OzZWN)w zc=U<%XeNHrzUJ)GnpYF~Cl%3way4^9*~ol)t${nd)WC`AEApsH;Ov{6$9m*glW$&* zwIYl@*3FD0fv0LjA3Gg+M^Zv#|C`z9XRhT_rwnd{CTB^c64bsQFW~|}!H)w4eM&w& znI}hss=9J~axo;@LgwhotSq9uQJEDN#$fT+Nhrr;N-?f;)Q*nA<&bDBo%Lp#i8>87 z(@b1>)wkXRJ#@Rh6<9QQ`{XyB>0$}Zm++F&Rw)Ki8^T!we+QXH|8yKIkzNEqA8h>k zJlGQln--jN9(AyDvRMkjNy;@5t`Rt||4^u@sGkT^O@DY`1pSQ7F~x%E8f5X(O1{O&yFGsj#LIPzMz;NKjO z0ONQu>&wzGK8hiAyv6n5|_J&cP`@ zn?+w)cN&KgJUI_z`2&4@X1DZNM^+s!hmrY3{dkw4s4gc-bv41uDP1|GbdLNV%`#(P zmg#FF#XL~0H4C```bUzA)WBX=f#zDkFF6Xu$AE1%8t%t*1&%@KL!pwphLA!~@G^`r zF?l*lww$85UUEljemNQ<1doE1?P?3qw=`^2m;|8oZR#BGe2unOomsVv1C`{$B%PEC z!n*?3VTXn6y?HW{$lEANyQNTM$_iSEr_14an3Qsk(s-1V2{B6JixA7QHQB06B|HaJ zAXkU61W^Awsng{odrhB&8yhxUQUZF!#j z6EQEw*xw9R5FH^zYY4_|2vMC6a~*x!!P?PK(0J4&!({KZt!3Dqhi%%kszk@7?-=JJ z?+cwKp{t^J_I=lNC`cmof%vmLMRTh%pI*f5n!3R<)s@;lTN+exZ=ZvEYy8tZyE|u> z?vxL)&#pU9XbrGCRY&J>OE$S;fM?ZL_#UyOO1DBZ(QT&mVf^HbGVQ}fVoeg4@Y^RD zZ;fM7fV5l)22Cm{19+JMhrXRwR}A_IpNQK6JnfDr-9i8hguNIe=+hNVYch}8#I^A= z)IP8I5h;TBm6~IfoQ0?Q1);V0n>PbV+Tbf6< z{xtgN=`SGw@bPhwi(17J#~Jp*%gvrqdQ7@!6mQwenB#PlNp@5UKW;lO6v&<4c4B0M zF#brrvK0b)MRxmmrH;u!XeiGq+;Hf(V(edR7uT)oGDcuQ)wNYf$#ys6x`4&jc*+Ms zC;1SEp$(+Ma6kd$DL6o|r~V%ppa^Na((_vQfmy!k#+|TFzSHuTlok7_I9<*B(#ZAoTtaU-VsXr04DTYU{*1!Mt^zqOC^Ityx{V#v~ z-zPCr)jS-_j$M`tmUqN@miK`4idfHj3a1I!t|a+o*hE`b#4=;LA9|nh0W~NM?p`F;cQm`YWWsZtqnW=C+D|i5@rc zFJMsyWw?TWpgfuq1;l#$Bqe%_9{P69~!ve27m z&?&ynXSxIfg8~i0jgh1DlgH(lpSX1qc$bW(JyDM426tO{g}ao@4X=uhN3m@rJop&5 z!gE!uTQC<0>)2l*B%JpE-}?UREOitj@!RE-)6f0&VA zQ+kwD`T@ihFY-94BWc^zahB2iSK$3~T=}uE^Rd2v{y2S{{_qd~@%Lq?Rt5Yh@xw~$ zVra%GRx$LIeiTBL!XDg51m>RTTE|o!UB6iVKYRJa+o)nYg17L&w0!ztUOxS=o2DXl zc>mzLY6};%+E@RO%4s&hEK}>_c7A>wJ`O^~1y}eL6?fgSlc=~}1}Z2#vlRs_JcWsr zs5o|i-S?}5i3{P6&sJ|tuzF!a*Gjbe#PvVEg5VtMKe;(8oWM`k*X1v3@sHd+S8{s& z%n|zb>JoOky*h=RZ=aSjkw7@-t%L{*!gR;Jkx=21r>=Mmra!x4UB+dD_WRlx(}cG4 zu+9@3F{^8#4}RPgr$~Va@!SF^dv$(_+NFr0K9-M;%{?!NiG^{{)7sfCT*}l7r!v*T zE&@OB^c-`>6%$Sg<8?`sr$av1UE-z;iahqXG(0yfwAY5UMRS0kB1c2)td^>X$6Six zHm}KXw&;>aDTF(jrc?-MizuXBKvR?%T@c_xx4bI76sSG$)lX5&B(~S`^;mbDDVXXr z&H8CH$g-Ov?_~p)J7FW3fef5WVdA}3se7q;invB(m)R9h=^A-mjpoo3>q7ex^o@1X zu%7EO!7i0;F)vfR#uo1=soEmgbo_>;j7==aiOdXa&Xs62NFmibB*H)GC1SxrFNdBs zsk~Zn<|W8S62)e4P*3{cW8sB^dtKtzThzVIDIXRkBZkk;B(0~oS{upfD22vvTeCiN zU7GdvmQV>EUf)FF69L?YEqbO6TUsUMYA6{*)saP^4{m+q$vmNV_JhE$uWWCr+e=C6 z)>4p-FHH1OIqHbdSa>_g$O}h|eJ;#|!&km%kB`wtpwvT^)^p>G2Tt&$rIaD_$$6;? zC(NZT3{#Ayx-R>H1y@~GXv*rcQq>m8j=76UcFdn%BIWv4e63x~lX^72>8Y9&yrreo zm7vjXnIIq}8XDvw=$0 z99o%*lfV2Asn5TlJeLMt_RNuj>}92wcVAdGe`H^$`J)sF{GrbR&r2YiQ;$+%!m!8w>5)o7g=Im?b4s^NdA!GtKv{k6) zi+c?(AG%hLBX|Xh3dOR5AKZtVR`3HO)*?VMaV_s5@rZp&{ao^*Cxq}cAs(dfLd|^z z)cHeK>~XBGKoM7JOmTTiVG8fqxB=b^8nOJB>!J&%cR5c&;p`(sc6JAUeR${f?}HAn zY^|o12Sw_MC&iu>*q79Ghjf7_Cosc#n7V|%!> zW@ct)=I$2}$yr&M(Vy?vRz>{cM4WRX12Q20@~xl$>@QpXn9r+x#>)N?)9Et*K!*8K>|zleAOB_U`qNo)+Zihx>joK5y2|zgwp# zVL#1#pLKQlaZrcY5+5NtOU7?QcQO?|*0akIujaL_A3DQoh#1h?7=CufZiVJbGk>RR zs33N0={=b4H~#-B-y&f8t;F|tI{a49ZqTsnFM{gIt=0-G#$1xOPKese%{m$Ws@5(? zoE~?Sn8QN zqW5*$h(^VYZU}v6753cgCujm@tI!v_^YR6$L5p(I_D;b=5mH=mGqa8VETx}M$j*^w zyK)^Uz1=#E3{z1mnvx6?6MO0box_zt2-p60lgP%GX1WF2xZifqjAhAvyzu8}#~Z!& zdcTcD@OE(!LwA!=87lj{yp;RH8p4mcl)R8r%}&%|gQ%v`F>Q&gGVKAU?p#6asaDfd z&lBI!6EpYcQ`_w+A?Kf;EAUHc?U#+-TiZWDbCm7Xt0djBvzorp?S7Q_Zl52GV`4vq zzZl_%r?q)}%X+wH6RpWt?z8Dv3;bi#Ggy^3GM;~DL{!X3Zv4r5x4Kxd`qFdw2xQ;2 znlzm}-^i6dW)ye^;pnJBt*K5xe_J#!7_tZ`CyPpp}k%^b#S1WJBd~&1t z*k`a&vlirYBG|?V*C(}qqkqNf{aRX7+~cNw(#?|amp8pU1_ zDJzG__pRymly-#n@%ilyZpJ>3N`$ zg{*6|XKSWZozf!tzv;Xc1kw=YS$rrgG<=l)rfojt&GgX+q3I*g$+>q-3`nG%m9Q|Y z?6Q2uur!njEEM~ zjOMMw<{SIW+>D5j@iWUVZEFqwuL*)$yp>ZoQMkJHv2CCW8di@oo7!2ofJTm)t8L+q zjfmhBs-uqo_0sAQkEjuEhlALA<7&YG#lLDDUnwQRC4;f5ZPy{}|G&QCY-4}XU}Jyl zAdgi4p2e_Dml@XDx&q;OIwo{N}|2ZWGpH!2DCdk^;q%QA{9i2mRK}Gv9^kL z1mA&Ml<b$Uylt8r?r{RQ*x9NZJCs@5~Bu) z`u-9)zZrM9;0*AxNjK=vpq&S2{6Vx&H;D3>zZad6u(jbCi%}Ti^9|B|L(BOMv z>+dlQpj^r>`>-j>qEetrvjZQB)ZHk)mKyzC4U%-zva&C`^ppn%O8FRA-tFC)MwXRT zDNwZKz$hsLdU=*-DOb=q;7Y}?;*?)=Pkw`ScA%`K)LXV4)L1^|aia6T>Z~_(X=VR2 zQsseaHFRU`Rs)K)tZEW#_Wq(F>~O2cy{zh2k7x+)C$G-!&|3lmXVakP#LpI;-_70Q zKdgCn6;9t|OHEi3l@3JB3!0@Aq-8C54`~v8hi#(Df1i}MSv)v1bX&T^k5)0XAJhM# z|4ko%dS%VsW_`s?uw7@0Z|lh0X?)>fn)9`(crho^vtDc#Y-pQL4DG~Oj=D=<;N5Wf zuJgo0_MWh3;2^jD3okSN6quQPIigw|(vi2Z%4I?Feh<3jaQ}yq$igq|B4I`@Vigw^>aDld@>*XaX zy~DHi`*IZI)x5i9tD#l-&MM@%F*IYgjOW9Wt`n=czSjJjLlpk*AKduf5}kHkUZ!N7 z;Td_&GB$|M#!tiuDa(^oaADnW(74w2FDoq z%NvbT+V{Me9amcRxE)#bS9&7AN(G9qbF`g-6Pg)!aK*YApWAgaxR+Ej`0eLtS=J8l zjTnY}2XE>1eNdkQr=br%vq|{< z7CFU49hb<@QKe%F95@B+@M8sRl%<2fI-8YghgExOg$L|{iPK5F#M8sBOoTD zsE8fzISs#+G7WDbs#bOT#@NZ-OI-h_o2R&)1((QsOBO8b^A4_LWY6}Ux1WTByTQZo zAJz`u#%BRRCw#sAj`RP7^O5430%tj&1si`LfB*cuUOlEPclTx>T$j?=)%S2dk@x-r zBHdySTbqHA`*q|L^ye5^6dRwCk^BGt*?e283%z<1*DU7j{I{Vg94{3lcEI1 zQLy>e{QHo{=t7QEJjd&snqhe1-xuFg`vipFvkJ3|bZ@f&+1Y%n1SAm1bVGlUh*Uve zwwLHsiBIAXcIlkR$dh^&YyQRi;SvIv=TeN`u9d7}ex&Dg$ySP~25IH8MigI|{8sTj zq0;!!4Ph*kSw~1cV<^9!t!8v2fAXd)(t6bBEfXwO0bb+T+{L@}YB$pXF2gxN*nQmqHru> z&|;#PKUQau9K%Ugeu@T=sMQucfy*K^P-5cDP{!Fb2<*bSNg87MDc2`SyU3^)DCY zUcg}7zIll3j#PCc%-1uHuFEIZ^x(LorP1doi#5|Yip;(6R@Z)aagC{2c)HVo!pQ`; zPOw*o^5jBcC50mq9r?4+Ndti;#E9&jqZWc;XU7Mpr3w_icHMUk{nAnsctVU4fn8&~ zqVEn@^hz#PL)+a5)}v}~q9Ue4rCvJT+B34be! zP08Z*g0)vJWj@&~+SS(_Yu&`c_uFT?VUDrrqTz$;efVttsYF=_N~~O}aJbv~YfhqO{-QI^&kdlK5XfW!_KjZV0L~*7{f4S@jd$ zlezROJzA1}jQzHVbNM$Wo06+4nn}o&>b5t=Y z6?0T@ek4=>eIR`6MLFVueiZdR7Q((O_l@1OtvGVtHv`|F8d~&LEYK#4p+ODi=ij8! zp1`jLBTc{3u(rR4)n6!k+IlFoy z-78A}=<30tfH7TWLUVf+Pppm!S{3_&Xw*s__$Jg^4}ntRg7jLk;Ke8ZCRDy{?(@n6 zSf<6m`8iNYLrzb-WOZG28yWG+%Fv+6T=?UhS~mZOUDmEnLAB`|H-Gd0N?Z9O>>NKg zWQbi!_t#m)JC-*bGj!fs-v;RnR+qn(vj1zthSl}jZ>e;WjvFR0fXR?d)o|pYAQ|5F z;Usj!7_)>gm{_9i8}BIATU66h3HEd8vdLK(*$KB`)Y1Zl3bXJcg1#@2pkR@&OqYXGIE zsPL{#u_HNlNt=)qm#kFdNVU{;hOrw-rirmzyBOH(rqM+b*;X-_ZA@?{ z`R<~MpQ-E*%O#EB_C%f30Wqvd66|Fgi-ig6PUi==t}Qub022@%yk;O|{W*~cyq<&PQ^ z`g#;d6kO^0v{KCDG?R>c1gcCY{<8Q4U1rZ{zDIg^K%UmoaNX}GA|NN+D-RDc&)5^lQ|zSOvXFioo6ur6Xed{pzysbafboG%-2$>E6Ryr|;&2ESOK zF}&obVHt7X3Tf*XhHO)nUzDpK)9hl`@t8HzeDfHj@sFy^-_k~_diP)G0;4EhCV?@I zJD*d(ZX>^0^<9wD46_nHW9RR|3O&u|_X!qhbv*1P`XX*+I^R;pnot#(l@DpS5J=}Y z`4z@w>Ua}v_jdbT)#V3rP&!VU#z2Si={H;9G>S6LkyY}G2wU8Ul6FNK#v)Fs5)}r5 z%WuAIPNngQ`I_0n_j497IX;UtPa>i8Z!*L#EWfGc1T$s1$HgrookOC%Wg@181-^t*_zAbuhmyiA_H6LBefACFW$~wqo$>_X1wo`>D(?fLm>8bE?aW~u# z;RDJbN-!R4@xISv%wkP)T!-|xe%kl0wYd_68Tel&-M@(xV$F29w=)WO zu#>-voi~iyUh}|20-~b<#UI7W5 z;K~S`@OoV5{<+WA!TDHVn%Z;}7Rq{Dm@O8WVsJ}z#i{eutvN9^61r=9>@+VIvF2}Y z&Z|)jrQ!38i3&PNJ8dVX!s^;Ihs|(#ra^o?9Nt1k%Ujc=s^h|>ZHruYnudJur8NXS z!H6R#azRK8Q)u*5c+Y4UWv_o$rhm|DZuO(OCNMGTI)o`MygQmmBQ@FR-W2qj@jt zpm`p8?W|K^DkQg?uYS?i^VeeQ;#3*NgFjI|r*(#UgO##!kzNMxiQKGy=5tDZ=;g`# zSWp$!4Tu%T2Xp==b>T<@5->lYHZ&`JDMC#lC!mE{wxsVmx+2Z_*yf}!c$-I$0Nq|(SQ7rkZ?Ek#7TMs-yuD*s z|Dkib2sq~imKHmV@>JV6ukCbhtGmg+W|R^;Lp#!UaX4EpI__GdiByNo z@mb2bA1!ipPR+4H)zFQcE zG%v%GIeUKph=q+$PEpb#(%pvM2S0aQt5(KjL{)ttqL8A_S_9j=hq?+#rDUn zhfu>6Mq8113sK=3{dOpk$WiteKkbzKZfIjrDARo>iC`XpA7-<2q#E~bI#}i~^Cya+dn}I>lg_FQd^=J-?P0GJqC3%s zhrRM%kDqObZ6|;B-Yfa&ks>Pe+Ty(}~kKU%}HCjXK@+2zu_=abk4>W_VaxLPG^~<-dd^O8gIcqu#u2zf!&RLkk zOh4xYwh?^odEVinqz{{&c-U22_uga9uCLyF-L!K+Fs!hIVUNy=$fuWQ^x!FC2KEN!VB&RKU7)OEsL$YwBIw zSL?>TJ+B*}O1_Q1RZII(R-a8u%+O;s2L{UFeF(Jg8(U6$vKbxjogtxJoi+FA#t5fZ zJalBCIN@Lvieq+!fMw13#+r(g(2@%`isJP4)|MRd&eD?3?lA$uYT7Yf4!UDy7P`!D z>Pq|uDum774)MnI>Ms#7nKG_}pu2jVxucrAof|fMMfQP^VYi#td*SB)+JrQ(M{|^Z zRW*~E9TnzFp!csC0iB&c;IG=YbBFYHI?gw$D8FNal>2BIU2{G6fIfQ`aX)Ca5AV}L z&X?F0{0*Mjo6l&yy8bT+{EQ#Q2aCSfdl2Xg9W9}2g|?z%uMZzEXZh6XypNswMHGd& z$(-d^t8;wsnmpE|W9OrASEO{rQM$9Lw7FM)s0Kr`DJ+Y)=J2sq*>Fr)AqSEX;G&n; z+x62-I}hq{0Fs-zv-(IbcWxgh?Ob6geH`P8TE!pc^5pydvUErFrWp9OtGfO+x^=8o z!Z_xN50U4XlBCWfLbS$OXo=5qZ+UV)ciG7^Vd9Z54`lD7T-+2tl*;$;tj=h18xqgh z*v90~JaQds=Ug_h_r642y z`sh(E-g>|7w5-nLX-dLisw%G04wuxq?F12d zm4fwTi{0cU4+&_P$ZVduoBvEEckbvhOC)0;XF*W>n@;y;>-->7hVf-W z)5yI&u?nU<&abA~u|`mCcJvEUcI+LMT)nuKmxeTaiJm^HVfVSGIBBUI%fHZ;Ydy+< z^*p*EhhUk`v&>h$3x=BQIX&}?erEMS5&fWTNIRyysS-V8F`um}dkOs5!bkR)qDfql2!^z5j5Y+_!K0#Nbqp zuDEoocFtfcM}MV1?T$LfWRD2x~;{!;D&P45WXa~!~QVl*kZfIL+rDs-5*+4 zUAcd=p60z+#yb>$x5?@K%-I}VEB#z_xZF^TIxtQ`^Bn%}>^0DxFZVbrvJl7X#5Txg z{HVFh^pAhwnV-?0rCuG>{c+fHsQ+}#io&pj=S2~i?S`$~>ndPl`4fZY19Nb(V`ou8 zMUvNpK<9RbiUy>RN;qJ8{<+<~3T1OA<5RDO9z|~ypT(hJuJ~TvKF)piMa=Em>)(9r zwfkuw%C6VAN>K;c!DE-z>&s=1-qSN(z(Zf#mb#P%wCg4LB6P2D)$3(`i^ZGB5ZF@x z?;@qSsB{-pf$PzH#BpLbhLUmJMx`jA$N#zX?qL1(eadl*i%}Bt|sL(>-sjY5m zYj#TWz?;yq!`pqdK{%|-_uADrxixi#R$?Z7_y?j!6C_UNW#(0N^m$H=DR zkMq0`kKdcsnmb{gEt;vxEE%P?SY6&0OnYE+tk)*zj#(OxLtUAf=45)uB-74WeG*C&HLOD>~3DypZBxPlK>O(ExTq6&eeHqIykPem6Hq!Ht99O#mFbd(&7KA~Vu zJkblhK37V@-kc|#qK60CC!(B8w07<&iMttP45kq%?Nfl5TIcGU`_;ipz2~007KYM& z+=owna_1S?FAGDT1EODdQ#iPGCvaZwWnw~Lwf#b89k(3K(#vFeVPADDlidXxPyq;m9;BjQa~dAL2VAAM{+%$Sb=)0))OE#5 z_65BYC}uOP~Q8_mA%kLzvMg;>0h?*^xERS89`yNdGlReJvYyIw<){-q?ht`qnyOtXD6c)Gs+Azzuc7H|AnkR#?`Y}76 z*`k`*`*UZ>*MQd-nVbgCvwT9zj*LAm0TP)wHvdn5bb9jGbq!vFxRN6F@dh!q=@TdT zVl%2#w7?Go&cg&ljJx0Y9oi(kMkBUknUZG5Hl0`8LO5@u!?&{mGD+2WwME>u_pPw6n(F zD)i&BT`fB@zgQ@>^qXL;5pa8Khu^#HN1CAa);`eOp+QW3^*<&{tp7jeGyg*XY&sc65CA89UxD?l{TT*Z)Iy-^WP@~}6p${vqck7z8(KBc<` z5VCJZ2%Qh%a%-bw&tuIzSpFCof9hl;??cO829UF2fs<~V3e|5>qf61PZb(wQ7XY2J zp9s*|5H3F%YW7Y*NQg5K6%bliMOCOkw|aV38I}1GCUDtzg^>J+GlI#5tFtM| zemN#*Qi$DC(Z(LADas=s&{IzxI4kOsicUyV0}V5w(8=u9qYTo$sYjT*#~G<%!qYiZ z*LxnAOh@3o`)xXB4C#gnBKti&#_4rFek%8P0agUcnI-y17VIwt)aW*Bu0dCL`v0h#J-hIb_> z8IBbxCGb{#N7n|Me7@)R23$OObB!L!?B>a{fTv|b$5N?D&zzWC9G?bTD z=M3NV`HBT&_nPZ$gq_3OFwp&QM8WH1eINd5$YVqqKL%c4l3t7<2iU>tjT`Tlu>)Q< z2LtZTrZnX z<(ysXGGq4M$v0vocif2V*!mL($O&212>)kZc$Cx=DIUwP%=H5jNLH@Z!Kp*g@0_y! z#nkQnV5YZd2v4=2zE|Hs$K&Ri*c3z2@hbituxeq0m^xYQjMp?v8~=68ibr)1B@OKHsp+&Kfsml5?KF3FhJHtAH`y%I`Tz^YvGV!;IJnGqp4%}_>89` z)r@ay;Q$hyR_}(iERx8f-Z*R$W<|#J`wzFf7nCFFSk}B5Apf**-*`efGNP5ts{vAO zkoyMUmb-p2?*hnSLqz2EEzjvzqn=OW{FZgAXjlMu6`6tmm}JnEGH)Z?ij4A%)_I02 z_5fJ##WBubjTKl7dZ6-ch`7A60@C0&W_ahcL)Ya2Sgb%Z=%iJ7t?#w9?Gu1 zy?kv{b(&*}ECl8B3RKfuj)ci(-bR`=k>U3u0_2dUtie^JS#o>jMuIjz*WYJqRv#%2 zp&OJqirj*K-st)sY`&DoXRe*c_58uKb?goUP^fk7R{9#~{Ccgem^ z;|+(|pD4wG51Ky4bo!%ApId^S0jRpy$o=q0rLY_Y#yFQuwIV9un7}s0%Fcnl7Yn|> z_eA^gjAOZ!4aR_dY8h89W?uoA3qMUtD0|6jxI;|+QR*EA@ulymd~u3R3MhLkd^CFK z|CQbsP(GlzTFwv+1Bz97l zcbsCZC*H-a&fYltr^=eO9MiQI7T!Cq5!J3f=zLbbKT)6XEKjiF3(lox7!L2_9<&|U zMt>m3^z?yp;V_5fQu`IBiDQ2hisMNC!s7lQzlE)cvJ6kn5!W6<{uYPp*4Rw@P5<$; z_5%4Ejvuec$Ee>^dtM2B;NM%GclC9?3DcH!}V!#9} zp!ZSjNK0B{%E6z25gXDi z;uZRIU3+sfNsts*xqY0uHDIKX+!@0bf3%{BD|QnwGV4CTk*CUCztqVu4Jd0ZQx29O zO+GD(;tcMO3(z4iDbW*p2*q+#wFGd|e)Nzae*AUDE4Wb7q|vwyQP+K4xO@6I*ndAr zLUnvG*v~P3P_gddyQ?;6t%V}=#Nf~Q%@U=J)GEy}Z9dj(40SU|j8=}9FStH1Rjyu= zf4;?9P#Rr=|BOw6*xm?*=sCn$WG~FAw3dApG|J5qoaMOG)Ynn22@i3%oZPz<)93_$ zJ|AUQA{jjnIIDlni>10sl#q9QAN7*cJS!J_vD#=RFBy?tBhz8(nwDKFv&y7*>`Jd1 zhJeHq&8l9EfW#MFrSgan${k&$Hi!`F{n>VzE^Q| zPgod4n{$jqzcs5gS~L#mbF&9KJMuEEdr-V=SbxK-`b|gAGo4IpfZ6DjgSCg+ zK4zB!HpFxAVlccK`QB!xi)Z9rNYA5C+kjfxM`6L}m0T)rn=wIq954CH6f1`(w^AEx z2T7m;EFn})#)$b%XDax{NI(Phx26@9yw^@^>+gW6Ht!ZGg~T2^DW;%#~90rPG0+mSwy2q-$@t;gvZp$)WJf;`k_nt68mS^weN15Xfe*i7Fv9K z@Q^}vnYM!O-pffYY8@!@$00A1I3yu{P_WecV}UU^NtZ zP~1H~6!|}O37x-e3K)8Hp*8Pu)WNiWzxr*%vJjf?WzFJFD-oK6 zXbv9#W;N~`z{zA~yP1Vr>GN%-=J2m3^WpNJh8^q#q;{jy6*&fZ5T4q>(Pf2vGn3vl zqIDWl&NON{Le0GCyXqDBvJs6p?a?BH?m-(^Kh`V2k?+KY%fB~#@HoF*-xF`#)QUTg z+p-aMAh)8tk%u>ZTP+0P&+4YJ+RRMN?Y~4|eROHXJy}@z&8BY{QI2YG-RDYkO5+rx z`K`Xx^Xz%OD87A{A@*xC&k}Tl!De3+(mPO-b`TiD` z1;NG9{vnnHunvBI7t3Pybb0^9!cy4z7b+W=0={CsA{r5+!M}crfaCYW=#Xab2Afq9 zKWWzG%=)0@Hj(@zyfCMKZIW2OIY8Wg6u!SWk{c@76^8A>M&9*P`(llge^MB+_1r`; zt8?)@Krsvb^YdE!_|pg@LxVE{M?KB;lnET)~<#mopqoM7=fvrV`_ZR28WG8cTS+dauI2vVA0V<^gF?NH(%0KMo)* z7X0I%v>5j^9i!^E9Li>HX|hl@q1ug~ zLg{AIh};E(tv->ZcrXULLu!sl_iRHAO4F!2H1wX!I zS}xjBH9UI18Qd|%&h2O(#fUYYx&Mwy4ZJuVcm3ur?$uZ7uovyta<-EF#wf6BTVji*=#dC{>FC{O09vJsHtM)3KBzuV|nKkys-bbUVmB{_*O(o70n-6dzPJ9YU&u`x}WsaB71c9JdFZZPL z-f?K=;2M?O*BbU@z`+<4b1$bCgV%V|igO~HSoy)wT6r{0uq$!f^Ccg&7_WB$@1JGb z=nla0cJBhwKg+(vkIy0L+p~X64U!}@(}|%puTL?~M-A+($UuzBXxowaWm8G)RY*XL zIUpzx5F@|4B{5rp3Zy6uHYFBdE-XYBJ-7Z;dtqFP)8tj@s}Uj_Fogry;x_3|AI9S zL4%+F-IOFtq&DTfh%k4FqGVXN!AMzz|C#nnpNzCn$8u+o$}Zk4Exs+d2G~}W_mpDL zk|8;*#fnUSM+f3PSgROmfpq$A&|N^Q(8&4N+O#bjX70a(6k~q5P;dejez&CXqmEyX zD6`l>mrUNjhrr-xz>jUWTq$pCx;)F&nT?3h$&!yq?Dr|rWv*% zCbu3Fb!!StAY|wcgWxSiqA;;Vg;p zIgRFz#w^T4Omcs4F zt?|jnc0BLVx7@K>Qi!N%-|K~v#rtTFC zUU83X_-8ex^@F7x;WPeTnikf}Fz_wbs`2Cr{sYt4k?-34+=E`{8qRH0l*NDnfik%=g_urx zLL7?N4G6U}4m^=Q7C1vX z^lAYi9Mvt*0wLHFE<D-%bT?-$^->3vzy^f}XzsGxUg;_Q4&Zk2N`m8f zXcV__Oa~ARt7VNch;6zM<3@g);1JUP7OQ|P3XTs5&oJ@#ixb1A9EOSe@zgRAAeY`yArDn9GblvjFkFO6=i_@#Oavpf;l z08RVr0VlcKW*M_#ZXbg|VqrNqN61kEHz}ou>~<(~rP?011E6J-17!iU_7YlHDJ=lgNXcQAhThfqONdK;cx>_lnh|%q4LjGEpz46 z3Ul`FDT~&{;Nm*hkTC{NT6t}7e$XBuVJ#xJ83IV0;~Nc4*=7GHag;=DSk%MN5MRjT zD*H)aw^dG3&6-Yrem^?s29WO_mCgMD$aiuJ4o%U3;w9*GTNOU4?=#D5TRWf`em!7R zRLU)<9t|ZU!goz9M0eHwwH84weN<;?9UDgv{GcsH>Fh)%RvoCR`dg4n)hDdIfh}!CepxGO0Xop-Rr-+i- z6QDE^g-_cq7s+`2#cT^8Cn2;7ay(4yDGI6u@L&c15axyRW-DBEBbpGoy$tqiP2iYf zGrS_e0Nko$?-dY1!L06x=fAmL^1ui{7P*m(cth|BY%=+WlNKi~!(kKIp-ayYXQvR#S$@ji%xW(y8id(>X(T2PeyH(DPh^`=6vY2? z{LRmD&=br1@>s7k-)*;aaA299-S>bbfuNQT z7O(@0YcvFrjhU8Hsup|{u#@*Q3`Fh;#Knv;FXnr{qW1HT0NL%^NN%1mytUTD6eY-k z85*5mJQ|Dab`qOfVIes4M#^S}Er!b4DK}e66Sn&1b56PC} zsJ{o^AZ_1xxRc)-Wo&8%og@aAQgRJkF-L0zQj(D~3?ZccpCMXB&eL%vliL^$UfKlD zZz?wbK$#-el#taXums|eUas)qPErWI2{`PruHLcGN;I_GVZeWJ*)rtGLc<#gF zmwI5u0o-35kUn#O>*3|g)uS$!Cnq^=opnQH)4&?TD~jNwGgmY@7pDUD z1D#A|m!afIw{cqMyu@xp4KX*eg4gbdz-naVzVxd#_1pWY?rY}u#h>F#0?4vJQBw|{ zOcM$Gf{!ZRj!##LDa)o_zvx~i1j}=aMy(|{rO|$!l)<&c3_$Xu*#xl+|I8s9$$Mc$iz3@1u8bd@6ddKs8={)v6fsu7u7}Gy{RZnlyq=zkU&C>+&umW zB>2)*vqu>4EG03O4V9?0I0zB&Oo^se1(#JF8!?J{6D>=@td`oKaFKyIKG@b(s>jM@ zjdl7vaq`0C#NK0FjA$6K+(!Mh%yB$``SmdJ}h4wMGxOYU&5| z+?QUS))JG-Ol8Iyu~0WP<|F;$zcog&7Um5YyuWK{^fLOS1)3COzAaD>YFR5`Wfbbn zt%=E+N0I60Md;c=%!ge$qU>GlLRc+o4I^20!JbG$e;44~M$C%IhG|!Hj}y%0XQ-l9 zUF^~vifd(JD<_69ZiZ?#47vDzT%}7tC0HY7zC~ z2TTQ;zR1_}e;7>OuV;=OsERG)k|5&bXC2f6i(}FKT>xE=Zx-MWdk2kCWhR(k zHVB1HyVwQZ>^e!uvP_+jjSbb(v}W`P05Lp%<7XSx+Bd->`n$k*@@F%TOh4aGz#zel zY1H+7*sx25T$oGChrwO2B1n5@0nTuAE1gWgfIz??#azCDGmI1nA$iwHJ+gAQR2$R` z1Zk+e*#xAa6TA{{K0G57(*~sBp}<)=wsI<*`Ep=A@gfS}0=jU)6<9B|qznPmg$L;yUJQfSM~7lWAKTAC(USgVq( zRqBBo_4PpbMBcO(Ktcm($pF4MOzE)#@I|XdjYe|S)OU6y0i2?uc?KYuqPV~+uf4AV z5(2=8o4i>uvtfRf>~R9ONsQ6g8htg_%Cst8(>MTN-BRBG0IN0EBnNO%M)o)b0CQh@ z-s-k~ZccYn0s171mMj5)LDi^X76**04!!RHOvgt+hM8B+a)~Hi?*;B+jzt^=DYT{u zGV!ytk3{RN2VzqVqaFkB_+iOL1-DVIl_Eb~j5hc) z?p`4b6r->eWJj)Y;0z+N0G7~A8}-ltcy>qDAQ^huz!bp*V0uCN7RH!*+V>&0zz`%X zb~2oc_3}=FVgg-`J=MYhq{;%+{1r&m*j5vefw#IV0D5bnifIE;Aam{ z(Ri0`gaCHO)jS#GwM(*s=5%FS<;w8^jA+}S_euYs@;n0o63b_JLvHF^vjqz#J|(lN zJmLcwQOYLeA4dGFOyHVaIPA(9!V0vnS3IE!v|ozf3bYS`eD(lL%s10cN+xT8&2dG5 zUSCz6kWa2sDW>)w2HX4s!;mWhd?6Iwg9(>gp8|$STAaUUJh$lma%S={OXD3}#ao0U2ESuO9;d%FXyA z{|2#Ogf7rCwA38>$yJk`)c=R3vyN-(`~Nsd%Os>miy+c$fOLq|2PpxiV{9~nbPCeo zNI@xSq$H%Ije#R%G$J4%At|UxN$KxgzK@^(__*VFUiW?8=e*6!^$M)Lj%cu!ExRhv0eCi9EiMCiR?6)VR(h zL(E8q>^s;$+lF;E0QV$g2cc8*pIr&xU>UqTeTq+~O3DJ~XfAJ1!g&8lDRq-XOihFD zlLP*GFvHOzzGjf5W-3u}AXivl^e6YN1+l!rx|J4P)r5?iOY=%(oQ;9Zz&68zfr#A0g(O57NWuGKGx2CTAHv|K~)Z>V(r@HqD}Hr zN-jz=%`>0I$;kam{_TLwM|v{9+7R;kegzWfOZX^7{r6;JKUwWHM>VgtDQ zTVu)z!l3PlYiJdsTHDZI`wW@|@Vr_(pH&G7>uhS2dE8r-sOM=2V?>lCr0(cxd0A|% zOzOvfUDxEF;jOh>b(O|iuTz;i-()*nK}p{>d(uOqEo%^96evK&vaZgY!nb&J`_3=3 z%VMKaWCnbT5;gaJ-Mw5pa+hqFZxLKzEVhADxnH#D!<9O==XU9Uv6)8BdFfHqQW8nh zpzmq79|02@-{G49O`XNd!Z)Dr(Yq+$hjVzQDX=a;wdRr-sh{Q6N`qgas`5oVjsQN$zlQt|c(|I8T&GK;{S_*n z&#IXCV(jfMhs_dH7N1qojteX^x=Knei5~0+{!*mT57o-iW0+7Z}( zKKO2%`H9B8-hBQKN7=`EAw7a!4#&W%q`7V;hT4}efz|u|6aH@q<7Cm%7aWc&VHFQ0 zQ$@!!L>zUBm}WBY9D+@^#~ZVRVc51MIo3S_6D6IZ%U^W@Ln`a9I zr$ zstw+Yc=1Q6?bGY7G_rD3rl90g`WBo+NsW)K$a^<=vnIPGWNo-{6CQc^$H$aqhlMcx zNi76E&ffE-a-^WFY}B|PeNfp#D{N>&3t^l@ShycbI{wgzd<~3UWssD$<;F?<%)!Ti zu|b(4VqomxiM>~)a-@s0Xw(2W?QSKj6*z6qFk!*fT0O!=nK#M}dfA-SY8ZM_jCm)+ z;@dF3NY*3xL!DIbQtJwRH^J{}@)s^7!RC!x>oR>e%wIXV1014RmRi^7yLAuvqEEn~ zF?ZRivVE)3*zJ5c_*2;S74Pn+ zjH5JXQ%msl@`D@WkqGkt!i5H@F$*&9${&gny};YSE?)j4oWFaDAlC^O5`|&@yEA09 zreO!$X8JIN zKY%UAlU9r!Ba)9-s-v2&C1O-B#0;@_$%+AS!9)f~Tqe$I%m7vIU^pHW0&lr?^I3DO zSFgAe=L>7Q*-MuNeCL^al&J2Ma@x((e$4*fDVazSc47LTRNi6i=#eF^@ODS{`ft$! zU<^(4=ncpi1Na;;<{)NN2{Ps{BKQxLNQ;P@JU0#IDKG|y&^!Ug_=+SVA!FjY;KIZ& zefbi-AY)Quk@E&uevU^EUGFv%0Iw@1YJ|yszmi9V@k+f}wEGy50sNbHak;TDw{57r z+ide1!d9q7B-no0>?uH3` z_|#kac_WnCuD0?3Vcy8+=fYnp zJ;;FYVd6qF5dKN|gA@{Gz*&n&#JJWwXs?Ki@Ba-#k#fFefW4ehiA?^w(>F~vmP#oP z4Wo@OYy?v(q-=+VadD`cLiQ*sMlJ$-)Og{GkUc3_ls&Ydb(EUg;X+CAC|}5)C-jLs zkUbdQtn0)trQ{=zAbWPBDVd>(raVR!0pV6kW@w^Z+&J{Puw0}l5N6<=+JuA+5>S?q z@C(Xj5N~>qQCg62CH>a92PJuBMS-wVq~y79F69t85FUi7iv7aRPo*Qe{_sIB^AUnA6GdG{y+Wlf!oz64eB!S(J z$o3ihsZozJeKB3Agk4I7^*d%5avTiKI*;XRdhy+PF>-3hsO9jVbX($*8EVyP_qrj+ zA(M-=n|N`npjyL`17piWNg1|gY2+*oqoC9yE<7h|njW&Gl|4)DbEhBd@S#RdlI00p z{;yf&-AZ>@Uf5P<+i0gBw#cXOzoMWHcza)}kY9X}8>F3#^gXHfp}_aPW2&g%F3mO_ zYzdpxjY4N#iTazHlz_<+iTbNsYKPQ)s`_YNHwu%*7xgzt@=}r=bVINYUiPu@opTuv zQa2skkL2p!<5oCiXuIRZp5{1Bg}nbPD%-srU#CXnIGK03TN>P$3qZrZte_kh`;l8u zqo)O=W39arjlW;O{h7P|4b*6V2sZv5H6 zyF#ah4SJTKN@s)+;+p1InMjszq@Np_0+t@ zlyc7Dj^nJ(eNw(Ci7)w1m@BMLvk%ZPr5AUkhZBm*VIN^3Jh3+5{%s~^{ml61?b?!N zFZ?ICV?U12+=9sxrjYzTpJ>+%%nT8Yl>@f_N`kLycL~iswtLG#sD7vvO9tBFs-8Lo zQF9Md0A@-Y%vL3NF%^tBMZ}UovwZ`n`3PJ=imo0P8lfi z3td}H@Gf$!A-|u3D)`*N$pYTaraTCF^TjKoNjQ>3^#Z=y&61P?q*Q-(PXPfZ<+T}B zoSjO43s@bmFPEXW9NDLu$RMwsWui2^vGshhs( z%mx8!80Adp@O7u<8#DEkGZ4>>EsO&E6H0lAS7uYa4tU|Fbb8nmqUm^^$Rdz##7BnI z5h742P$%uac2inE9;FVYka7A%kel8rDsbcOgPhPvPuLll4)pUEjBJAnL#F6=;pE8_Ow|uGJqwLS4Rqh z^ykwnz<)v|b+T4#_>dnICpl~>l(T(O1Tvs16Yv<_28vUQfXR7r;=v(Tyx;%I7d8_rUnS0GBzC*~MnhZf zg@9x5%GL#!V8%wMd|cN;T7vT7%IE`?4}%1BE#$v^CcHfGAFE;Yx&PKQ>mmOIIWmGh z@yt=H9`fIAu7LEp|HuqEK+BlJf=9lC>XH{@FSIagT3)w+upQ%dXko;$OHa@15*wZq z2wyXt-G>&&RNYG)+9-_zKcMC{VM!J8--@B)c`>ajS^wRQx>Neh(D$s!08Fz}4C?_+ zv#NLHyFNxwCCY?XP~`rmgIJA9)eNnE3B7p!V%g9N#wfU_OwfEQ@#41D z^oR_?r}hXbeX!5u;y+$q9LTTs()TKM?QJ$Y=l-;S4Jno}r@(&M7D$ZWC)(<0{J35< zTu1*aRW<)3zvbI6!ilcw zr=$J0e<2=omTM-N3lpXy*}11Y)x>8PDQdDK|1c2VE&eurTNE~(OCO?25=ALejx(mv)Z&zm+a{{R zj+VXCCaU!vBWuGfHSPRXLt`*H)|gKS*9rXuz`j- zDcPF7bH1Np5Ey*&#z6V+y`m=%VN92<)FubV7>g2d~Zk zQYdWse~2@Z?MKu+M+STdL-dwk^5)o!DI_R^T2AhT83n z-JHYJn?5TOy-AODPkOj1@t0iR?G!sVygXF?^Cw;CX!hqWa&~2mbyKIcx3tk$I`+g$ zUdx@5cFToz@M_+R$>=yMd5vzWlvdxIV0tc}4Or3@sU3+8k$oPil`%Os9cM>_0Zke7 z7+Qdp;XOOa{%{RG+VP50e<9(&RT_1QMyu|V1l;gEhn+O;wRB!R`PmtRMu_2vB3=OUf`^J zzH4K0uBz*H22KO$v9ytNo$?B~A{KB`ty>SkNok^$!AWT`;71RAq~b@0^M{_{zj?vV z+I+F?bmX2JQhvO!Z)2>kx8Wa38z&wkvUJwg4Pk1X!>&gGH_rM)-aR;tQwh78R#2=) z#w$X{tL0jWDmx6?cbkl79+ST481$|(41Y15w*LDoRI@0rQEkM<)hUUq+iYd1+Lk~Q z9JVse1^*w}?)}imjP9+GN6m@+p$6jbx<8GK0f(P^gw5;+;-9)lM&iNY7k(i# z^k>(ri8YKOuhrBUErrb-Z?$yoG(ARGiqhjWM@KE@SGR`qoH|_FWow|n;Qcx$Y zdmj18KR(`;mdtI7?nuCZ%x#-*Eg_9Uz|3h)`&;iBWA&@Or?oft-i{jL{(d}reOdD0 zv)4tMDR1u&46{;X6x-NOn0YN6kAm*2wL4^S!u5&f*W?9cjRb2iO9~-PaE6xpuRh!* z>)pbB5+1e0c>(SN9ocoE`fBrQGs3dw|Kl`qf)K}u6n`yj@=9Ht%xMep=5iEMZp{a8 z$7jyv3s$AAw+R&=oXOVQ<=$LQXR@k;I0G`4ZHQyE`hDvf$;lnx=ZETFi?lC_g{YLr zUg{qHHtL`c`z$1b#km>5J`2lW7hTaX8E{POY6Fu2$2^q=j4j}JwA6*M1sw0Zc)%C{ zj_K_!NCVzDq@&%Hh26~VF=eJ*PpB*|wSIYhwxuZntIIU5s?$+1&WI%53)2pLDG}cA zEgRk7gr;}UXJAlZNcbgft-JKlL2{2)Mc?Gj?%$s;l}{^n3ev`09w8qzl1KjxL%&=- z38t#hm5ruA$~87qQy4bYH7A5ekB-fVy(}z#_S(9sC314oe{?UbX=ggGz4ou+pQkKy z?mzcy{@(i&&7ySQz?)r#x?sP!yhLXkcA_mF?A1q_cTn7dS^Nz2@JZ!m z^#Q7A?%LF+ca_z9s3&tb=6Vc-zAKt-eY;b&FGQPxcu!ihgQ_&{Zy0h@GCMduoNy}m zcG*C=NLHU=&iPn`f=TTK`ye47{xT2FtYNyb|8}!0=@rXxldDyfRoa1;SC?tMs7513 z*1SzruMsVKuT4~~w?x(&Bu&l|S!0ki^NM9HLDDQQmNjjwxfxd?Yg;eMT^>YlRXv+g z=woR0ayH`y($K1QHltX_(5iAaqm0_ns%AE$sLIf)Y8Ko^GqgH9yLpyTueWO2Gk3*7 zCaGV|CSz5zZtQ`dqq2FbjJ9;)KU{Np0+pqn=3nf5xP0rcKQ2`TeBbb7m)1jPgO4ql z$@)7;mm^(PyQp+z8V+w1ezkk|n*Hc{R+*U9!={l2$PjTU%xXrOZZC%r}a_{C-(?cQVC$eg2{ zv+{{MqsIr_kMjN-YuPznn{eJ|uK)GDWkcalt%DtY;AT_Te2+w9*EjP!hGsr0VJP&c z@u$pTop;8C21d&Y!cKn$H6}X=1R@W++g}-BsvDAT@4TefTYqP#@j-$hUmkNLDk4+) zr0ll-tJ6PEV(xK_G{bf7`5|Eku$z9BZ;{LAFR2f<+e54lMLP|3x4z@Jn^CRXO)>iY5$5UyVEXm z$r&GK(n}zQ0}hi4f7o80A=OJMZcf6K$k1RH)+Wu6xmRQIIq;9nGyhqp|Bh2nJF7MLvwShCnJ9*BY0e`># zj7@L;MAI$Bj|J1Dlh-33HFWP8TlaPaexe!QEn>GjCD0Gtc}F>4mzbM%w@*&#>;}2! z{mqw2a(MD09KBS7potp3{x}f+U|Q-yw$^lKX7?Xc_VOOHN0N!3^X!?Q$LL4zJdozv z|GhHs?k}G0WTJ1xQDbz9P4Z%yj@?~zOaYt1LaRWSQ{2W0?vKEHOZVQH?=8lE9&sD} zUkC#8uW^atgyf}R{VYWs8@^XROIH6Neo4h0QGy}FFDVU#-u>GjDiuRak9;Mwd(A+^ zq~PaM?Sa>boHnz%ih(>tj(k^7zNbPWf9+2NTrlB5%+4d}PoGKSsqXX&{M}2$rpz;c z4b?gp+~o=B)h8S8vc0GBfZTq?XVfvKrZ_Y8kwma~q1%VcmyQ1uW%$e0uI~C26YUa7 z>(=%4&O3Y8nly@?QRV7OkMzzWsdyfh=Ph>23pYA9ZYWo`NF!uNI{I8njxUO+k*+@zzE#=o2haHC_eVl{xRx@3}M8Ha^@>cyXaW`f8z)kIbv6B=?0q{Xo`RKb zdTNJbI;Fw566UWg?h@m1n8jBW1vSlKf07^C799-ET}(D@>bw5#U)^n+XU7qa^Q8;X zG3K)6N*DEG-_?Rl6Sg~lom(dC-Ht*5W4gxK1y$^l(H|dI_2mEdlUR{KJijIPE7{U@ zj)jKqvF*8SuDj&cNWuTUiz?o6+lyIFQA3&oXlskd6ut}={x&*_O;+kW)| z+zsQ7uzeP#n5r`WvzOe*BDK$F_JvXFKCSeB(~h$n+MXMN?+}}0VCFTm?cKgEqUoNG z%LD&$yp8CPc;7SZSgLu-%=Pirf88AqLVufNugEE0d}LU<`8bMai`x0B0j0?U8T(Lr zJ>h2d;&4Z%FPF4!Z|(Lq&RpZWLCb^xsx6Q(^hCU(K85Yk?4NWAhXSpHzVXeqg44&Z zoM9yndL>23XpsxKWb4PN>-K0s&7*ky~@_+ z_%d0wj&ZzP)1j*IKr&sHOZ@Jr4$V)4oIUlIDYQy8-4>mX8sL*Es1ox#ACRG?SgysK zkUU-TyS_4`*Baxqh1#>Tzv1p^m`bL1a^KWoNuOcd&FAwwENYyV(fIO;swh2MTWa$v z6X&3Au^f5y2#&y)gQ>?JI2tvkF-O!l)Bs} zO;O8OHrPRvxSt-eh{R_d|2G;<$rU4VcyBJQ`IU6nL*whkGrXaJaEaHYF445K1b0EU zAKjrombc!bj&1r}?$Y&EKfM8!{QIS$5$F|v>mzpi8qxP`X z-Sy)LirXVQXYBXJqD+C53$K~J@`cmbNwA^CKc0x2)f;LyX$&8JY+mAixC!UsyDd$47Yov98 zl+`n4PCR?s`}d1XrH?44?9b9)DY~+DoQWfLABUEhnZ(Wx4QbNG-JO^`A=gc;h3nB8?9j)$lz1+=*Q%DzVCm12oiFs%pH0r%AZ1` z`#lA}PP_#_&yl`p1e3h-G4m~CiKyX9G?Jg$Yhr_; z%ZwpGHcju6UG8HIj=vUhhc9H#uAL#vDC>grHKKh;O;9%dlRG`@LPBc;M zhJkwajR1cAl!xmdqQ=RB*VVK#qp(l^Tvu3ni;$P(T-%8FFUVTFVpy*Gv6YFkRWoPi zP#;B4^U9dZ5#5xu;`Gv#r&Q#m?&qDzhxYe{%f8Fb9Tt^-57}&d_A5QTQb;aP>7b}Z zXOCB|vUeUBib%bVZ3eA_G96!JCIJC&2?c*8c_-#>V}xb=E^e){gKrH zOs^hJqQ)6?*`}3aFNsx2TH@WfE0uf9^G}78(Q%vT&TB@U-!eB*k=N2*ebo6@fEc|j zc$bOqw*CPP_G|NgB{F%aQa9K>yM!rk@>apoxCNvMn=Bhl zxr-VEl=q+THh;;iiu=jC)ezv^)Z06?A#i8nP^G>X4B!O={!yP)&uQ11ef@`1bqi`& z(3^jRc@^3g9QrSj#5zTNwIkmbK)D$Fu8jhT_1>xrR0$dWc` z@mov_BJ6QXM*mF~vubvTE;tagLW>rAV#TW%H9qInMMqwsIH*quIWN|ASr#9nPo;{V59`u6{9~?o8+A6Tg0o=6yIaU(mpjH(DBMK zl6r27Gt=XG_P1LGA^q(bz2E9A?efrwp%||75dlRC&n6VN(ZneG)`#zerbW0`UqT#!2F<4!Im48`qGIi7z=lAIs74wQLu`?94r=NS< z#9+P|MV}vJP^;xyv%5=tiNT4CUS-?0hC7Ovh|t=6>!JkK+Xp_TF4?}8>GUDN8va8> zEv4rIi>6$MbaDMi%oB*$v4&#+uVb^=B@6hwLC>fe0KYaMYz_Yo@e(ZjvJgKTvjg!} z)>({aPmbM}2@eMYqL{vp{;{aZbi4OSukUl}&)`i8|DTtuR=k#A4eU5ZYx5%_!>v~$zA4T?Y zbg8u-7qd||_j;^y^7ZEqgxuzpo7|FS3SpKF8N>h z`1`x0*!>0{i;uO#ZFQgYU4uMACu5QzKG)kW0piQ8rwD+*!Y%J{y-=k5?YAx(h4RwG zV=Q&Y_KS?Q@l5NfAAC1c-jlKT%eCFO>Ny&d2dlq&!PI&R1NfA;i(Sfq7dg(Lrhxbn z8SAO<5PyS(Mi%1dV$LAG#ah;$|4MehN$KyN4jL)qLn~SIY7>X3=0XH@@8ApN#k=Xj zUt_u<%Vxb6vmna?tYtp}%YKc-FhDbj;P=RdWpwLcvy#Fa9->m&MbXk= z^S!5&v4A!c1$oElEVMa@J(|upQz5%3v^iqiM|;5LP)2km`Gd`I^j<(l9@L z5O3$UWsUL(X4yfNYDd~Xu&oS*jC3xN1o_Na4yqvs~Xw|T(oAzs82lLhg0eMwKw z`4|tFAH?Ug^PclRdpsc??~&MW&ih~z&-t#TopXN0Bk|n+$Lz}xZ?@TE2Jz24bkFUt z_QIr{^Fv9M=lp9A-E;e&u^XTB+dcLWzwe=YZvTC6Ozb(2PntgG2R(Gp?T=z_g!rlT z9$kp9@gSVrzvYR^Kj#OMV$S(I55l?q&)KQZ`5!$GA%4z7q#^9B^HJ(PO@^i}_ElJ` z=XBQ5`zVaPQPss}c(oQ+E?+t~Yk_Z-cHlbB(0i;f?t4DPbq-GUo%WwNuVfT`T`yN| z!>2pa-qO2Ei8WuwMy9(GcALEidWTkRa{VU?dy5{ktO4H3c@u9A__z!kt2)4kHSa8? z0iI}PD4`1QS|iOiR=yDbjK%bv-^M#Y{DF;CBjB)D&@p=$nYcpF9_>6;JOZk9D%?u>OLHgtQHnV;Z|D1*Pod1Cjh4^_J#k7ikgLmG{ zX=(o)uqrW!`%B0-h01G*AHP052^{?85t&!hm5AJm{Ip!5w}1_mA@XK z6Q4nRH+!xbJep7SxoFX*$w6rJmPA(qjjoMbpPfw;23x67@@9Q~K~cP5$vN69jpYqT zF8f1kw(lBFWj>;-n9lVsUz_Lr!J~O#{Em$;7>`!QC2;B5Bpk_#w!U7PZ*Mt0zPig}GQ9;XKn>z{jE*p7~l^e8<~oU_?jUI4BJvj5kG6lKpJ+G*rM=Rz ze3LckTdNVAz~YlNndImbO%je5@KkEgny^2 z*;IRb+I%!P2(HiCuu3@M63;;7eJ+c#5pnABbAHF7lYP4>i~ARvEd4Y3?QnvFF7owy z9gFbxVnfNPm-wW_V!Q7|!l#1OcffBy4I`Cb>Je)6 zaanQpstqP2y>@h3&-Z*eD3H=#KeqM3R<3IJE^S+V4`>Yxm9~0ZW6h8TNF zF64P*qVmSaQf@lUPTjx$`c$iM+@l&w3k%Wg&!I4v-RRMT!o1PrK1d7xw{|1hzij1Z z3_00&W6JX;evfCf%uQ`V)lyEz|GZkxCv8L3GIMUq;dJz)x! zE7v7nb<}@>GPh%$-N^u^!_Mj>`Z{k?Ntgnk%26_$+xomVg2-AvzBBSCyJr>mn)jg@*g-EDQ4l2nG zLv?Q^O2_d+!$#meG0UC05`Qi$q>n{1Gw%p4>bs*+f#*wY_n$Zi=u9(#o}l-lk7(wy za)9dJI_?rU)6q>9T90Wt(G`+8#a6fLP`%v5=|Q}FkY_K%4{^<&*UKL`rE^}KmJ2v* zg(Orl5G)7aw{fB8^)>KUAH?T#DMB+@|E|i=n0`ubo%);o`#4jtYJ3@TcH&xnm9!v4& zoKII;KX1TDX@k%8N2Br~-dJfJYQVU&7FAWw`3wq@b6!$u{k#E_q9s4qpNskn@r_Dw z(12wQnyyeY$vhd_Ma5^2dpE%&$hcrK>BrH=dYcbv$rY$ZcoDr(cKRMkl!SehfLe9@ z`&+b{Q&a*26d8etk3%kk+-9pdGq4qp-W$p6djl81Ol zTJV@7&~FYx^q%vJxQFMwKds}rK0Yc4;_vUH#2{Xumib)&Ah53QoL|Eko%1%d%;)+8 zQP&`T>i~s>_%PaxbA6hix-N*9UBbDZ^LDfukiO^psCy94v4^?_@rJaM=lc4Abs#PP zzk<^|=e21kA$?Cm)TML&5Tyk1F0|6wK{anrD@Ax@*Ko{|^-TAX$0CKfwi^5_KT_8Q)qOVc2ts>)ipm4L ztkrK+3gBrnm8J$Eel9BX?!j?*N|>lE_RhnQn?m}}8MpQxed@|BEI?;|-5k(vr~BZc zx<{z+H3Ckji*3i)qz4k2dQYB2r= zQ9B{E`Li;QvFjc+1{s)^GS#l#@Bmv1?<*3FhQEE)VTe3Vl3M~_MaM6 z;c`WOKlmQM3U?Qwta-raav$z4N*Q`+!>OvEa8-}C)A@m_bB9}+h59JZ zuf&TC#gwx<#U*VCOWzZW_C^x)nWIgn8I^t)H_W&50T5?Sx;Vi+auyN-~^jlgmeBj`g}{*?C*JURibE>N?Ax=3~DQ z5q-U`b?!gO$B85?<;L_dR5+D9Bkm@7R&fFk3?1$w&)$V1&noiaf!T$dv$1sFAJm5#>#vT;Aue-qBE`T_vHTRs3}VL( zN4jM=Dmge7kx#tpa+{6;j*3V=LXNiCrArYeB5aD=?9Fe#X{E|s`!<2F$OFzHy(j6l>VrH;Eyo>d}EEaDIzlv9Ob zB@r2UPn4n1g31YQuj`t&lh>zZthNuQ%HjGTL@tn4bj3vSJ)<=>`Vxumc!!8`R4#H*F%*d$opO_sa&y*+R+KR>(1#%|qQa?-jOVt(hG$Ql?z zTj9b~50SCd_3&*NLa&Bo3P&XA`ynL{hR|swnZgtKObCU~fw|2^PIVJePw3)o)|eR; z%;6`woR0Wh6I>X1Rw3&}8pM0PZP>yU65Y`sL^R0vQc0H<5F~^<|A>--lk}*U1V{*@ zomY~P?-lcQmu11+Vu|!+TTscdv0h`@1LJ3u`f@&GY^->fURJF%%@3YEqj`M=tLmPU z**bT|1%~8&wA)#5K~7>Cdc~~^gi@DmY{F3P`W|=_b+uuFA3HE)l{~58i3d{FWTts- z7m|_|b9k>e6m|1h1*~57m5*j0vP#Q{_8QODF%DsB$!&w>U0iII%q;b@oAp}tZn_@X zF=Ul59p^cmEj+SF`9r}!MXKh~azu&3lbNQ(r6S497ji;eaz29ctX&u<{TISKQidFD zuo~K;N+4pXD&@24?R#6p$4ShfL(O@Sz_cDnDat8#^l}klA?HIC%%SP#B&J={<-ANN zt?+}p=e0>GT`bCz%q;5VX$n|XKjywg;iS)@bZdQ`uu>UBnwQ&l;WhXm$kK#TLz7^% zSn?0`N#wP}+99h*e$A1=Y=)pmq;q*~jB`naagv#3MTUF6s}fQoSeqfM3@(k4FWCd5 z%aq5mK0)nFsT%5oDT+7OuYLjb-_ zxaNYU!{oLxx*HllP&@VeFOyE1V9#TANV1(S&6kvWnGznYBT1aJda@%xzQLro}W% znii(h6AY`%?@$HlG+kk65{qv881U(VY=oEm-Q4!kHCdhql>6Ew|ZTfb6)U-RofK_=9HsEsr8I4RVM34V3+nWO4Nf!3M$fd zBOKOy7+&f@!St4TaYeHAfe1x=dO7WPZU`0?)3mM7%IZ!_BIw){o8vsX5vuwr&S`{obh7 zAs&V%p?G!<3GFYZt^GX46Eg9Z91?nOB3lP}B&7u66*wex+|F7Dczl|a<3l(k44y}| z4)cJID#ueK_7Fylm}ptWB)#NI7U<)2N3N)F`SkH|8jkSwT``v83oipoK5EWAK*>DD^ zJ;Ie>&puLX3|45rw_RQI2NGx(wngr?8S3#FzHWVy`y{!+zpwRFO-2 zG3pxi;K*gND%twm;6sfjVD~CfqA!8n+aWLscCR~?9VhU@7lBEi|B%mU&?LYM5eR8- z-~||(0rN|HtcXrp(u#i>d=~^WO?Kgri_PA^3zR7KINi}UqGq2yDN)n9C^bjtDsBZi z`wVi@`UJJr^_P)zVAGvNO?87!hfjHv*rRKxz;pr^Gxm~yg;I&ry%R!4A#0z;EF{~< zW9-Wpt_Jp;H512bDyuxxdwQ_vJT8LZ;+Q*Fh68u9ll98kN6?UZMvi8=Y!)r?L1C~= zB8%r?kP?a=2VvkuBHImwl*1c=kkbX(ULHwb+3;Nu1_O*%3#oGQT<^ih*^} z+gp|>K}z}}qJ?3Z*|K^U7lgn9ldIHv_3>F>T#}Dt)Cypdk+siZ76!p3*(3-Bmrh3% z2ri$A&nY0d48B0YMcpJG9s=TNEQ0Qbq?O~c@23I~0R9i1N$=>aFjQj8`7C!y&E$p?sbuX% zh14a;T`5s)EiCg$mXo>=EY--um3FXu-KmQyz`BL11bg>M<4P8n*H=cN7Tmo)cs= zh|D5xHMtkEj>kxl2wmPrE`ku}C4obUush`mh|G>NxWjnX%=0Ux*@byRnqOM0$(Nfn z@o*Z!%Y}43U_TAQ&>+M{KN9u${P%>241}0tMN8yk;Pl1|Ssy?W6AAAJPPYbaB5?ZE z@Jg_sjC$$HkYHmZ&j!*d#T;j|}%g33{D~89WeceG!EOnSSI-hG!q6`z5p> zh?QNU46if@3vx8*Fby5!{Ll>gwa`wrquZdsAvY`RRo!YLe%(T9(jVo=!qjnp$@o%_OE?r@s>V=&rTYqmzX6jLC7J52 zxRWMdH8o6R++>I+CeJFX6{3-N4|Bik84ucVO`G5ls<7Sni_`C_}<;xM>Os$60HNfLr}YGtnH&~gA37sA*Dwa8R zG?CcR#hz{u%>0M2GmdCiN*h>qj?7FK_0ji|jm8r5SG>YDgKqr` zQxhg!(1J}f1o)7Z*cTPfy2*4?i#)58N!1q}j-Fw@=>)Ed5{P~6z*P~~P17}I!bmQn zT~2}U!KEhh2bhUyfKE3Prtrr`iO-)FSYQmT{Y5e{kDj{_VOGKlQcWNoV)I}9;$ypB@ z#G;<_W|Y3QyC3kudqO;sGubS^Ho9({o?*j?vg1}~X8-3j@pP3HJpbzRZMRVuacPOK zcWo`@RTlB&(0%f;gna|0ny?>lR8H3ee%EjPJY59831jq-G%PZw=hxJ|?h2(dWmUZo zzMuD*_8Vh&whxt$%t9`MkJR|3EEZLYevOy!{q=q#!%f{9-TJ_FK)SdVIV<_vfz7Z5 z{v&5*ENR`9F+kV7Qqxxf`=zYep0}L=FKTRAOg|UDR8}cEAOAzst9!rtm}#71?t0Vb zwL8RTR}CJ{1*UXA7O4H4*mfWhPO5}0e*9&V=lF5tpY(ajb>XitqYc$Z4+eGwa@q%z zw1YV`8rd{UYz8sT9v+OuZQL5vJ|*$?lE_^d87zM*i-z!_%!D{=&(|A&_qJaKgq=)k zy}P}cMxj1Bll-62KY^Ln0z<>}k*}`55!mLkaKpC0|JT-cz{Ax{geZ$>!Rjq~j~1-5dW$Z4?=8_=)F4_U1mAd{w|wvOeb;Z+y?5u#IcMh1 z%=!O+cV-2ug?Mrx($e(dpPKJ7!T9;Zh(rT$JEPej&yq0H`sZw!%Z4nt8sn3dDO@>U^leuKMW z1}AT}!zDfGQa`C+dNF!OZfvGO_j_mN4{a%#w|{K#3B)1`-V$CGqq)Mi$z*+Op9|X^ zP~$*uf3ooG;vc0YxjQEmBt7kGJ#$}xuomY<6;TK@MC5^fjS0D|TzQ75@4uE{(6Y?h zy(aAV!8C?=ltaCLRr*U*;_i9f;oJ91zi$gfixymVhtD!?`A12Oou37=%Y%6%JqpHh zgAmx|!Mw2-4igS0uU8;91A)DcoWOKye2#aF@@N8kHwZXNLSRq9-1nWG&7oJAAp*t> z=Hyd$Hb($XqF^Af92;UsLE@Z#ihV-xh82QnfGa1t8-zNdVPM)*3I3D}edZgY7yQd3 zPuxR}dS4L16PEH3Syjy+rU&NX&!3X*RQhKyXtL)?yXZkA{6~H8CK9ITdJ1BR1@%D? zOUzPs-2${?V zF=-0(g^2*WpX5LWK#0Z}<^m@9@K2BtpqVY~?sTrQV6zn0Gf|2Z^j$jH7g$V@% z@`b(Dp_gzd62=9l;0^X#$6k7#P*~%I?G6>;QQR453Syt)j8e%ESnz2^8l{iZ$R(3U zub4~fIf-X0v8Qqve`Ur)$&WR>%&b@Dq)Q5Cy;VC&L*CYQRZGFn`(0O5mnwEaHkLE(E{nlRiIOh_J^O+O5?x~kj89g=@{DpTsl4iJ z;8ZC;?lP9@*-S3QxpxKcf_#;p3&C_C->&iT3JT52 zujDODGw$oB$o*A4cNnp&NZUGi^=zlZn~Rva{gKx@QwvH=$^8yluBwm)0ml1!2LoVb z;@Nk`tTaWpF|Ux{8OJLX+6*UW$c&@x(4KaGYriT<;ZrXK?~P}dcRRY>i~Eo33&~4} z)`~ahc4qe4o-$}U4u69Rs)cumcVJW@w7TGFv8O@-68iVMIRJ5Dz%0Jq``xKp1W6`( zD^hb9rccuCXvLqAlK`bR^HrQBZ&O!ubk)V8Yc-(%poC}T=NjnPiP=AXh)d*|G4V@~ zi#;a0O@Q9%lLmqr>9494I~?rrB{XbenE#jO595^d;@FGUU;RJm?$cL#H`kh<>Uu35 zE&J}#Rk0d)b)7K~6V0`R8n~gGLoaIYTrfb_Y8J$viT9GKpJ_MjwvkpfSajk>t56j6 zn|y9ritG{~`>a+jNO&<1x##R)Td=U(t?}ryhtdy6Gri(uF36{@<@*k168_|*;#=Ke zU%^U5wOHoY%vLYW?`dxrAzTPijiXP_y$X+M5r#iJB*wrC`hOT>Uzlq$R9BGRO;uNjQ)dTvlyukAaV{}u1J!$+)~Aj0*C<> z{4-h>wPk}v+?3FIloC6s)5h>&fMwzC3J5se&8g4C%|Fh{I{*Rv$!Ewp5Y(1Dtm^`> zLIRlvdO~wYT^p0oBu~UH=p&vKhHKFrw6q=Cpx>4<8LmX*?NGK`bQ8s9H*)d{7^12W zJjiemhRsj_hy$O^UIqh+`UUPV7*!Z_Z)k?CSfmiWplTAuN6P>q6)tA+E{7D(QAO-W z0C(KSaX`v$SSKO@Z=uBC4}M=+2qDv^4n~CwO!}2*4x9XCeh|JAUqt6iP08P@G?aPG9=UG^(a7_TUdp_AJQkI ziRg)<0DwCD5;819HYw(7!7Z$MCJX6@&pdUBFvaVEmp)JLfDFoX#jr%kaSO}sQgE9C z*i6=W3n=cv->4cDKh@=rB16-=;FNs7Zmg~ z0uK~qaGQEWrfTwOfFrlCEE#!(MK(N@zKt4ScS&f3WwxR|WhXnZD4SCrR7J^&8P**Y zD(f1?4n6A(oICsxfg9HG$ZT#c`cQI0Xf_zcznB8%fa^UWESp1rrRO=|(L(WY%15z8 z)JHP|yWz#i_aBXuQ8Cby@8T4isZTIZpxN%UbTV%#Q*%g}PJmDPq zU);h<4`r=;WP~O;khR=e5v9=T8#eErj4dz;MOuBs^WB$M0=09BS-qSEdo?N=vH?nP zyR`0>ah~M!MS&7>WUSjk2@jDy_lZ(b`%F)dypsG-TaVuLI;G~8LZ6y~LP*=aiD*YA zbgZq>EP#35d_xd2z( znGDUc9Ur5Xi~h2X;N{^#4G+DfBQJlgsY$(4xL{DeAdSfAYA!z(=R}c{$yj&)?t4CX zHO#R!_@HY(UxkFnYk0?5SX0{h!vNL-eikdB4QT~t!8Eu)m<2%J_&e+pKwRm|0T6Fl zkWal0`kOr0))%HO-up6i^2^5vb?HYR2I%dGvYz=5<`)=YEr3pzh%+YFi(rX6x!2%i zh$>XUS^!;XAA1zAEAWrVj2q&N;$$@%c8Ubw41^o`ygHdUd-^Z9kWM z)XglB5k+7Yz>`dV%_1%k5mReg^h%OeNP4Y<8h|okMddac)3C&Fcr#Bj<+b@(_(N)2 z0?RPn+|hR2?r%uFLp7wc3^f3P2$eRhrO$TH|EPKV6<|B(JV0}=33?E)S({WZOk$!32-?%_EVzX49!b?Rb8|n!=MR)IH z`C(kMARdmgu*qIHbg-KBJ8LSfyJU!7ZEh2x-or9ToV3-8BMey=rT4A!S zQon7hNM&f4JGf<{_Rs*G{8oW&?MN-wPK&#owMI(ORjXn&QMq4Av6dJb!;``(`AAIq zjy88K>jcPjM~l0HRS2By$v|wBEWc;67!*M)l{VHhS<=uDd!-FrLVOo>)4SsE&We??v^5&V+E$) zgqx-xV4q45(dXAo7jKR;FA+g+C#h;MQqX}#}w z#Z{=U7UvFfj8J+6e%qx7STq~th*ZjlXD~hj7O9>)hACNXS2E@Ui-@?yJHTF_(@|B1 z4bK@a#e3fT7B(VYc{<_pST+B$?n@q7b$?X2;bXy&r6#E>fQ6K_!l|MC- zP{G(@Hvh9$EWq-LmMY~D!uFaZ)j%&!m=#H>GfjRsDl0Ek+sAq^mPB6<+!aAi-`ow) zj!Y0yiDS05g-snmcBy2Zv`#teuFIX@HOZqA&{-@q-+7>#BWwNkyOi9T>=w*oNc|3D zgTCJJZWRNmV7v8bN(oQVgdh>&75MzaLrJA;CGf6@`w`=4+D@R-QZg>B#fX zcNR&pSf+-Nf?`C%VY#4~p&V)pV60PG5v&@hUL7H)FthmuYZi;t6qs&L&TceTF4z_; zbUUQrWYb$z_;lIFt4^F_yBHQbsg#)yYf!}Wh%(5B1%+xay`3pahQp@Ln(ded1uJ$g zMy5$N(jcearfRqZ#awKgE0wSsf&N^WhrwITiqTw*=H}WWh`B_3Zc+8zJ7;RMRpYng z&o~oj-iSPVQz8R_LY2pwaCP*lCxoSt+9KNdC%+a;U@k+Y>P_gEgF3pL5Hr2P)k|o6 zPjBj4&OVdELnOpj&-bONKg0^o`}+97bXv$v6hWiboAUF@tx_3`**T*L)EhU+zn{;n$<4u=jMw@o{?i8}NeALnQ zDOq*%VI8`=_|Ay;y6W#Qy1Tnmd5>4;YAghn$Svz13`tRL%<2m)#VLW0ge-29AJ!?% zn5=7((nK{BW<%9_p#+}}ch`Ks8A;`%Y=VmpGb}hhx8+k;KA*%dd6IQy*r~y5OX{T< z^wOY+b>X`5itE(m`jE?I+jh#fvfL_Qqd{K7V`h>P_=w9Yo>vQO zYFH(}%g(ls@$sK@SUBfvfwUG~ap{LjZ!1%sHXyR?E)@qc8pq1_OP%XBJ|9rpA0(SVC_X;N{K_Sxo%9Mn3CMn;?3T{gvqlYAxJxiS@WY8=zh!ZQ04oS77Ael}*Pzp@}5)m?hG z&3e>V_II_Wp>?#pEQHzE_IHD!mwx=*YxL^o_gJ0A5!|{J+e&u5m@=KRzTZ>cuOfS2 zX^Z@J2X>)NKHqomJPT{k%3i0cahg3^vK?@@`*VPG818X2cTKLT?H%BL+Du$fKbPOI zx_*Y{jrrMltEf>2sbiC=sGsMJvab1+f)bgv3Yu;p7pOCTFE3VoS5H6Rdpcieb~CM7 z?N$x8!w?1eZQrej2%S`$nS4!NZNyd5ZtgvV>f8w%LmYxZ+Qd<=GsEbIjpO4V_cTsL z3jfM{YxogN^?hb{GRL3yTii|;2TP+&^E++3^QKx>X{eo&%o{)R-3iB%g7dP``+EaY zd2IIeD|wmY9!Wo-e3vI(v+d^=-#XSSt>4M-n=Rkwr{)Q75Bj=vo-gaIrV+B+dLvP9nC9}5L3#f|0h<7h@)m_+M7q! zM2M2?#KlZNafCXY<2N865vyjj1HO?CkXAo>|+mjP$}eLS~=BWw&R0 zZq^Ff^04ikxkHVd>RvoIFAImZ;Y;;~x@eTLBa^J&-kjoj>93Se2k=bzdCRYqY)0!$ zJrC)N!7<{Rk*Ak0FSuVD3i}CI#zw%6cuj>W~-LluO3GFBz*3!>5fo@PFck%rtbE3@zX8m>3 zWY(~nT+bhq3Uz`l)uh+czLH!gVFi-W4RTtH;A!>9^+s(~0M8d@trA`PJo+T}3AQ?# zsQHcU*xmA**w?3mT2oWmk>3I{6F>Cdq8Cl!46OT|0?qM`uS&C9QiPB_GaM1hhOL7gHYR zDQtp<+1qB8sSDBU&FuC)RQz~V>LDTR!*{Yh;CSu`XO))XC}Aml4`-FT*3ws;P*dWf z@hGg0%}Y|^lJLl@j?Kr(OF)8MFmz*2h^KI3!mf*}W3y&naVgqB^cBZ;^Ad!c)%E+V z@uFK|^GxD(oK7s^tZJ>?CFEO~=&9T-M)ci6@yjA0<5P$l*Ft+p$kw2fs0$+DrySAwQ8*tbI@Hhm>Ff9pal;D7oc-|IhHp$>{O8`RE})q+#@ zFP@au)_!2s34+*I1X$>FL+mY(6gsH7u71ML`rp3T>4-WuCh!!;$B8A8Ql`Etong=k zs+;J?bBrfxstmm-c>}H4HjCI*VbyhvOklcSF4*p`)BUcu zAB)%^1y+(k1NPq!sHqG+)v5hiux*Bhzy;qP4_V3RVC z{F@8UWkZntYSsegwI+zy;*vtXRIeO#V1)8xG(?3mTC{FpUc`~VJUK_xKd4fmBpj(< zMM5Joqn(ew@^*P1^)8sKec>^DT?*f4h5xA zqYK^fiRN{{n5Fo2{YZuEetInGDWSX1z7Sy_eeudSL1X+NoS#}>3N_ZCA)A{rc7kgX z{r+kyAxzH#uVe6BQuB9V&B6SICC{i|9up zj8PNMvM(n2#t?wnc&L!oL8;6*E&3ZIuEwH87rwVk$ZjtTBVJc$YIr)fCwIib$WwsME`^o!b z<<3bGvyMPkn*WeZTx8Ox$d-G`g(`wU{iDiFHl=ZXTP|fOyPc2~m$J0oPJ^-C#b`JO zKFvqH4`ivo^FBR1@|zN9CBLQ@u>So~Y{)qv^i<+)%2kMZ$F3;WJi!sOfF zoWg=I2WxX>!T1zTO3!p|SNmVqZTjiU#&X7aBSU{eAK!Vo`*~`W#K5}Zc{AnPN8a4@ zOg!~QJsMwSWEE8D-UQDpniKt%SwgGrK9t-1dtPNposSPsm~XI6TA5zpoNu_WwqNLQ zMyJ|-FgQc-h}$pNa(ZrDvhVf5bH2G8+_E>ie1-RtL2bGs%2wrQ;p^vO+zJyt>BNQB z;t+@D;G`spLZH18$xW9)fwwA{$;@#~qwyZGbFe`AQuW+5e|QxmV+L{mJ>5f16Nu&G zQi)@pq1BQ;$SUQe`@LAILGr~HO__LwMva=j9NpF?xtG0{h`524Hf697G8*`s7 ztjkhR;?C0C_8iXfaM+iuPmz#|EEHX++Ta# z+nJ6cRw;Z~NN`y;=sBMqdbb*Qe$DUAe&L;rVj^;jHtZ2j!kZe_{zR#%7uv8Vi05I% z)`e(((oUM%Ev_+v<(-NWe>E{k6V0;oUL`F#8?NM+!?IJ6Hf74Axc9TO$>F7u;889l zfTfYBW@LJozn*SzQn-^_VPgGW5>s3qp?jxAFEg(!kt2;@$RY!@6eX|$PhA#Q(WEbf z2ayTeEx%An6IYy|R83T5_!90X%#JI`LJ>it8WZOJTXyk|RnAUUVn6Rrlh%}yxvi$G z_V=9CwbSf@nEF*jNQr#RpSlv;v?wRz<0Q$im7*^3*F{6BsatyQcR6ZQni$bjb4gQ7 zwH)YyA^SV~6oCq8?CZ^o!@23&znRWyZZ;7Jw`w2sp0ec=g|lD7I}F^3pC>$T?Qt9RKBdzhA zI-eTo%H^{2tE%^*<%95v8)dk?IczFiWET%MEKZVQI~b*RfwQnvDy+;4GOjk=i~m#d z@jxzwpee*5n@WK9h`FuHI2W=k7o^l=y{y5PS~nO@$;;H93@QE4?t)EOkXJrhz*aGVro>c>mey8|dTt1@s3 zavy&3%QF_P07WL!*%z7xszax+3v`Wq%Xe78!+)*K@JhovaX>z?jw<15ed1UUBh zxMJjyVh%`EAceCZTqMV?dt2yRoK^Sl!xI)Xp>7Y!1nXls7OMn1E_6hH`X#7%Sz8b= zrbt@;{;Fl^;svt^Q7eh~nUWwNDaIr6Gf-W{3$8_AqUW<>t7BK(`fYoGSU@Y0H8fY>mpOM@5b--G}k!`6#hY{_W`2 z+ra_F{u7qff4r5~yLrBGZ+CT>XuB!zOZFyUz^sh zF%)Lh9DGsw`vv1ofi1@wbExGN#%e_6MNL`INdtY06hLs|uDqZ{T z-H6(NGQzEyyON&@u!9}yNOWK|@GleDT_TxDVqXiZ&dhV(aBSr)v?{lI%W2LK=pDJ# ze9!v}@lq5pIeKdfF-_%cyIMPLUKFP8evlxcn9qay@jl4;g;#tZ#Rz`|^BSbPA|lOl zUUaG*8-WdT`)6y$aD`k;{`n77ok6 zUN;|Gqx-?x1jTVndKvIOc(sQA}tBPluG6w*LY9M=3i{FmFZ73Na0p|Is}uEOHSRRdn* z|LZxtX%nOF2WC$|o9u!%`LE~jpEj{Jf0GcSZrjdJ;=4p}Nqkn<#yuT6ccN%WgIsoC z_9RgBM7MEs^i6&9Y0ae*%>VGmb*1rO-)Uz1OQ%+3!uQk%-gS%!kA-UDG7ZJ%M!&*g zGHNdD@aSbzKcYR#aF!48>!0DOmG(q`tr`kk~En+{Wa682A+LSVU zDWIgX&#C37RDEE(m$l$%;O@cSaps+H!fczSmkyAYi-m^c@r4SlUX57UK=-eEQcYRd z67vF+o7eD%4`KpoVx=4}j& zJK)*BIlv`Gt>4X=xtRm+JG(dk7Akfi2>GpmiD3f4{BNO~?6rQbKo$%cycXt`{}$2> z%xlX7nSOwTdy^X+|7eO+#QYB-xV@Rvzol++0fYbJ_%HizE^7UZU;QKH;QapxLETB> zeZf-`F#d0+0{>IU?SI>MGx9Ykc`P?E0c{okg@eKR zT=>78`o}y1kN*;~_+M4={~Kg)B>qu_^&0no54rzV`0ugme?))(r|3Vuj)wM diff --git a/Project.runs/impl_1/top_timing_summary_routed.rpt b/Project.runs/impl_1/top_timing_summary_routed.rpt deleted file mode 100644 index 29ba2d2..0000000 --- a/Project.runs/impl_1/top_timing_summary_routed.rpt +++ /dev/null @@ -1,3334 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:03:18 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_timing_summary -warn_on_violation -max_paths 10 -file top_timing_summary_routed.rpt -rpx top_timing_summary_routed.rpx -| Design : top -| Device : 7a100t-csg324 -| Speed File : -1 PRODUCTION 1.14 2014-09-11 -| Temperature Grade : C ----------------------------------------------------------------------------------------------------------------------------------------------------- - -Timing Summary Report - ------------------------------------------------------------------------------------------------- -| Timer Settings -| -------------- ------------------------------------------------------------------------------------------------- - - Enable Multi Corner Analysis : Yes - Enable Pessimism Removal : Yes - Pessimism Removal Resolution : Nearest Common Node - Enable Input Delay Default Clock : No - Enable Preset / Clear Arcs : No - Disable Flight Delays : No - - Corner Analyze Analyze - Name Max Paths Min Paths - ------ --------- --------- - Slow Yes Yes - Fast Yes Yes - - - -check_timing report - -Table of Contents ------------------ -1. checking no_clock -2. checking constant_clock -3. checking pulse_width_clock -4. checking unconstrained_internal_endpoints -5. checking no_input_delay -6. checking no_output_delay -7. checking multiple_clock -8. checking generated_clocks -9. checking loops -10. checking partial_input_delay -11. checking partial_output_delay -12. checking unexpandable_clocks -13. checking latch_loops - -1. checking no_clock --------------------- - There are 0 register/latch pins with no clock. - - -2. checking constant_clock --------------------------- - There are 0 register/latch pins with constant_clock. - - -3. checking pulse_width_clock ------------------------------ - There are 0 register/latch pins which need pulse_width check - - -4. checking unconstrained_internal_endpoints --------------------------------------------- - There are 0 pins that are not constrained for maximum delay. - - There are 2 pins that are not constrained for maximum delay due to constant clock. (MEDIUM) - - -5. checking no_input_delay --------------------------- - There are 3 input ports with no input delay specified. (HIGH) - - There are 0 input ports with no input delay but user has a false path constraint. - - -6. checking no_output_delay ---------------------------- - There are 29 ports with no output delay specified. (HIGH) - - There are 0 ports with no output delay but user has a false path constraint - - There are 0 ports with no output delay but with a timing clock defined on it or propagating through it - - -7. checking multiple_clock --------------------------- - There are 0 register/latch pins with multiple clocks. - - -8. checking generated_clocks ----------------------------- - There are 2 generated clocks that are not connected to a clock source. (HIGH) - - -9. checking loops ------------------ - There are 0 combinational loops in the design. - - -10. checking partial_input_delay --------------------------------- - There are 0 input ports with partial input delay specified. - - -11. checking partial_output_delay ---------------------------------- - There are 0 ports with partial output delay specified. - - -12. checking unexpandable_clocks --------------------------------- - There are 0 unexpandable clock pairs. - - -13. checking latch_loops ------------------------- - There are 0 combinational latch loops in the design through latch input - - - ------------------------------------------------------------------------------------------------- -| Design Timing Summary -| --------------------- ------------------------------------------------------------------------------------------------- - - WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints - ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - 5.865 0.000 0 3939 0.094 0.000 0 3939 3.000 0.000 0 609 - - -All user specified timing constraints are met. - - ------------------------------------------------------------------------------------------------- -| Clock Summary -| ------------- ------------------------------------------------------------------------------------------------- - -Clock Waveform(ns) Period(ns) Frequency(MHz) ------ ------------ ---------- -------------- -sys_clk_pin {0.000 5.000} 10.000 100.000 - clkfbout {0.000 5.000} 10.000 100.000 - clkout0 {0.000 5.000} 10.000 100.000 - clkout1 {0.000 10.000} 20.000 50.000 - clkout2 {0.000 20.000} 40.000 25.000 - clkout3 {0.000 40.000} 80.000 12.500 - - ------------------------------------------------------------------------------------------------- -| Intra Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -sys_clk_pin 3.000 0.000 0 1 - clkfbout 7.845 0.000 0 3 - clkout0 5.865 0.000 0 78 0.192 0.000 0 78 4.500 0.000 0 31 - clkout1 18.751 0.000 0 1 - clkout2 38.751 0.000 0 1 - clkout3 52.402 0.000 0 3860 0.094 0.000 0 3860 38.750 0.000 0 572 - - ------------------------------------------------------------------------------------------------- -| Inter Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -clkout0 clkout3 7.215 0.000 0 1 0.392 0.000 0 1 - - ------------------------------------------------------------------------------------------------- -| Other Path Groups Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Timing Details -| -------------- ------------------------------------------------------------------------------------------------- - - ---------------------------------------------------------------------------------------------------- -From Clock: sys_clk_pin - To Clock: sys_clk_pin - -Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA -Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA -PW : 0 Failing Endpoints, Worst Slack 3.000ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: sys_clk_pin -Waveform: { 0 5 } -Period: 10.000 -Sources: { clk } - -Check Type Corner Lib Pin Reference Pin Required Actual Slack Location Pin -Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKIN1 -Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKIN1 -Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKIN1 -Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKIN1 -High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKIN1 -High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKIN1 - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkfbout - To Clock: clkfbout - -Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA -Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA -PW : 0 Failing Endpoints, Worst Slack 7.845ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clkfbout -Waveform: { 0 5 } -Period: 10.000 -Sources: { clkdv/mmcm/CLKFBOUT } - -Check Type Corner Lib Pin Reference Pin Required Actual Slack Location Pin -Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y18 clkdv/bufclkfb/I -Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKFBIN -Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKFBOUT -Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKFBIN -Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKFBOUT - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkout0 - To Clock: clkout0 - -Setup : 0 Failing Endpoints, Worst Slack 5.865ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.192ns, Total Violation 0.000ns -PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 5.865ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[3]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[0]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.537ns (logic 0.897ns (25.360%) route 2.640ns (74.640%)) - Logic Levels: 2 (LUT3=1 LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.417ns = ( 8.583 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.601ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[3]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.478 -0.338 r displaydriver/timer/xy/x_reg[3]/Q - net (fo=7, routed) 1.040 0.702 displaydriver/timer/xy/bmem_addr[3] - SLICE_X80Y96 LUT5 (Prop_lut5_I0_O) 0.295 0.997 f displaydriver/timer/xy/x[9]_i_5/O - net (fo=7, routed) 0.865 1.862 displaydriver/timer/xy/n_0_x[9]_i_5 - SLICE_X79Y97 LUT3 (Prop_lut3_I2_O) 0.124 1.986 r displaydriver/timer/xy/x[9]_i_1/O - net (fo=24, routed) 0.735 2.721 displaydriver/timer/xy/n_0_x[9]_i_1 - SLICE_X80Y96 FDRE r displaydriver/timer/xy/x_reg[0]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.603 8.583 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[0]/C - clock pessimism 0.601 9.184 - clock uncertainty -0.074 9.111 - SLICE_X80Y96 FDRE (Setup_fdre_C_R) -0.524 8.587 displaydriver/timer/xy/x_reg[0] - ------------------------------------------------------------------- - required time 8.587 - arrival time -2.721 - ------------------------------------------------------------------- - slack 5.865 - -Slack (MET) : 5.865ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[3]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[3]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.537ns (logic 0.897ns (25.360%) route 2.640ns (74.640%)) - Logic Levels: 2 (LUT3=1 LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.417ns = ( 8.583 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.601ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[3]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.478 -0.338 r displaydriver/timer/xy/x_reg[3]/Q - net (fo=7, routed) 1.040 0.702 displaydriver/timer/xy/bmem_addr[3] - SLICE_X80Y96 LUT5 (Prop_lut5_I0_O) 0.295 0.997 f displaydriver/timer/xy/x[9]_i_5/O - net (fo=7, routed) 0.865 1.862 displaydriver/timer/xy/n_0_x[9]_i_5 - SLICE_X79Y97 LUT3 (Prop_lut3_I2_O) 0.124 1.986 r displaydriver/timer/xy/x[9]_i_1/O - net (fo=24, routed) 0.735 2.721 displaydriver/timer/xy/n_0_x[9]_i_1 - SLICE_X80Y96 FDRE r displaydriver/timer/xy/x_reg[3]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.603 8.583 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[3]/C - clock pessimism 0.601 9.184 - clock uncertainty -0.074 9.111 - SLICE_X80Y96 FDRE (Setup_fdre_C_R) -0.524 8.587 displaydriver/timer/xy/x_reg[3] - ------------------------------------------------------------------- - required time 8.587 - arrival time -2.721 - ------------------------------------------------------------------- - slack 5.865 - -Slack (MET) : 5.865ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[3]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[5]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.537ns (logic 0.897ns (25.360%) route 2.640ns (74.640%)) - Logic Levels: 2 (LUT3=1 LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.417ns = ( 8.583 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.601ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[3]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.478 -0.338 r displaydriver/timer/xy/x_reg[3]/Q - net (fo=7, routed) 1.040 0.702 displaydriver/timer/xy/bmem_addr[3] - SLICE_X80Y96 LUT5 (Prop_lut5_I0_O) 0.295 0.997 f displaydriver/timer/xy/x[9]_i_5/O - net (fo=7, routed) 0.865 1.862 displaydriver/timer/xy/n_0_x[9]_i_5 - SLICE_X79Y97 LUT3 (Prop_lut3_I2_O) 0.124 1.986 r displaydriver/timer/xy/x[9]_i_1/O - net (fo=24, routed) 0.735 2.721 displaydriver/timer/xy/n_0_x[9]_i_1 - SLICE_X80Y96 FDRE r displaydriver/timer/xy/x_reg[5]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.603 8.583 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - clock pessimism 0.601 9.184 - clock uncertainty -0.074 9.111 - SLICE_X80Y96 FDRE (Setup_fdre_C_R) -0.524 8.587 displaydriver/timer/xy/x_reg[5] - ------------------------------------------------------------------- - required time 8.587 - arrival time -2.721 - ------------------------------------------------------------------- - slack 5.865 - -Slack (MET) : 5.865ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[3]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[5]_rep/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.537ns (logic 0.897ns (25.360%) route 2.640ns (74.640%)) - Logic Levels: 2 (LUT3=1 LUT5=1) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.417ns = ( 8.583 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.601ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[3]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.478 -0.338 r displaydriver/timer/xy/x_reg[3]/Q - net (fo=7, routed) 1.040 0.702 displaydriver/timer/xy/bmem_addr[3] - SLICE_X80Y96 LUT5 (Prop_lut5_I0_O) 0.295 0.997 f displaydriver/timer/xy/x[9]_i_5/O - net (fo=7, routed) 0.865 1.862 displaydriver/timer/xy/n_0_x[9]_i_5 - SLICE_X79Y97 LUT3 (Prop_lut3_I2_O) 0.124 1.986 r displaydriver/timer/xy/x[9]_i_1/O - net (fo=24, routed) 0.735 2.721 displaydriver/timer/xy/n_0_x[9]_i_1 - SLICE_X80Y96 FDRE r displaydriver/timer/xy/x_reg[5]_rep/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.603 8.583 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]_rep/C - clock pessimism 0.601 9.184 - clock uncertainty -0.074 9.111 - SLICE_X80Y96 FDRE (Setup_fdre_C_R) -0.524 8.587 displaydriver/timer/xy/x_reg[5]_rep - ------------------------------------------------------------------- - required time 8.587 - arrival time -2.721 - ------------------------------------------------------------------- - slack 5.865 - -Slack (MET) : 5.884ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[2]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.578ns (logic 0.890ns (24.873%) route 2.688ns (75.127%)) - Logic Levels: 3 (LUT6=3) - Clock Path Skew: -0.035ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.410ns = ( 8.590 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.518 -0.298 f displaydriver/timer/xy/x_reg[5]/Q - net (fo=71, routed) 1.267 0.969 displaydriver/timer/xy/Q[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I3_O) 0.124 1.093 r displaydriver/timer/xy/y[9]_i_6/O - net (fo=1, routed) 0.299 1.392 displaydriver/timer/xy/n_0_y[9]_i_6 - SLICE_X82Y94 LUT6 (Prop_lut6_I5_O) 0.124 1.516 r displaydriver/timer/xy/y[9]_i_4/O - net (fo=1, routed) 0.387 1.903 displaydriver/timer/xy/n_0_y[9]_i_4 - SLICE_X81Y94 LUT6 (Prop_lut6_I5_O) 0.124 2.027 r displaydriver/timer/xy/y[9]_i_1/O - net (fo=10, routed) 0.736 2.763 displaydriver/timer/xy/n_0_y[9]_i_1 - SLICE_X82Y94 FDRE r displaydriver/timer/xy/y_reg[2]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.610 8.590 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[2]/C - clock pessimism 0.559 9.149 - clock uncertainty -0.074 9.076 - SLICE_X82Y94 FDRE (Setup_fdre_C_R) -0.429 8.647 displaydriver/timer/xy/y_reg[2] - ------------------------------------------------------------------- - required time 8.647 - arrival time -2.763 - ------------------------------------------------------------------- - slack 5.884 - -Slack (MET) : 5.884ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[3]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.578ns (logic 0.890ns (24.873%) route 2.688ns (75.127%)) - Logic Levels: 3 (LUT6=3) - Clock Path Skew: -0.035ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.410ns = ( 8.590 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.518 -0.298 f displaydriver/timer/xy/x_reg[5]/Q - net (fo=71, routed) 1.267 0.969 displaydriver/timer/xy/Q[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I3_O) 0.124 1.093 r displaydriver/timer/xy/y[9]_i_6/O - net (fo=1, routed) 0.299 1.392 displaydriver/timer/xy/n_0_y[9]_i_6 - SLICE_X82Y94 LUT6 (Prop_lut6_I5_O) 0.124 1.516 r displaydriver/timer/xy/y[9]_i_4/O - net (fo=1, routed) 0.387 1.903 displaydriver/timer/xy/n_0_y[9]_i_4 - SLICE_X81Y94 LUT6 (Prop_lut6_I5_O) 0.124 2.027 r displaydriver/timer/xy/y[9]_i_1/O - net (fo=10, routed) 0.736 2.763 displaydriver/timer/xy/n_0_y[9]_i_1 - SLICE_X82Y94 FDRE r displaydriver/timer/xy/y_reg[3]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.610 8.590 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[3]/C - clock pessimism 0.559 9.149 - clock uncertainty -0.074 9.076 - SLICE_X82Y94 FDRE (Setup_fdre_C_R) -0.429 8.647 displaydriver/timer/xy/y_reg[3] - ------------------------------------------------------------------- - required time 8.647 - arrival time -2.763 - ------------------------------------------------------------------- - slack 5.884 - -Slack (MET) : 5.884ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[4]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.578ns (logic 0.890ns (24.873%) route 2.688ns (75.127%)) - Logic Levels: 3 (LUT6=3) - Clock Path Skew: -0.035ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.410ns = ( 8.590 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.518 -0.298 f displaydriver/timer/xy/x_reg[5]/Q - net (fo=71, routed) 1.267 0.969 displaydriver/timer/xy/Q[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I3_O) 0.124 1.093 r displaydriver/timer/xy/y[9]_i_6/O - net (fo=1, routed) 0.299 1.392 displaydriver/timer/xy/n_0_y[9]_i_6 - SLICE_X82Y94 LUT6 (Prop_lut6_I5_O) 0.124 1.516 r displaydriver/timer/xy/y[9]_i_4/O - net (fo=1, routed) 0.387 1.903 displaydriver/timer/xy/n_0_y[9]_i_4 - SLICE_X81Y94 LUT6 (Prop_lut6_I5_O) 0.124 2.027 r displaydriver/timer/xy/y[9]_i_1/O - net (fo=10, routed) 0.736 2.763 displaydriver/timer/xy/n_0_y[9]_i_1 - SLICE_X82Y94 FDRE r displaydriver/timer/xy/y_reg[4]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.610 8.590 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[4]/C - clock pessimism 0.559 9.149 - clock uncertainty -0.074 9.076 - SLICE_X82Y94 FDRE (Setup_fdre_C_R) -0.429 8.647 displaydriver/timer/xy/y_reg[4] - ------------------------------------------------------------------- - required time 8.647 - arrival time -2.763 - ------------------------------------------------------------------- - slack 5.884 - -Slack (MET) : 5.889ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[0]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.574ns (logic 0.890ns (24.903%) route 2.684ns (75.097%)) - Logic Levels: 3 (LUT6=3) - Clock Path Skew: -0.035ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.410ns = ( 8.590 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.518 -0.298 f displaydriver/timer/xy/x_reg[5]/Q - net (fo=71, routed) 1.267 0.969 displaydriver/timer/xy/Q[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I3_O) 0.124 1.093 r displaydriver/timer/xy/y[9]_i_6/O - net (fo=1, routed) 0.299 1.392 displaydriver/timer/xy/n_0_y[9]_i_6 - SLICE_X82Y94 LUT6 (Prop_lut6_I5_O) 0.124 1.516 r displaydriver/timer/xy/y[9]_i_4/O - net (fo=1, routed) 0.387 1.903 displaydriver/timer/xy/n_0_y[9]_i_4 - SLICE_X81Y94 LUT6 (Prop_lut6_I5_O) 0.124 2.027 r displaydriver/timer/xy/y[9]_i_1/O - net (fo=10, routed) 0.731 2.758 displaydriver/timer/xy/n_0_y[9]_i_1 - SLICE_X83Y94 FDRE r displaydriver/timer/xy/y_reg[0]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.610 8.590 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[0]/C - clock pessimism 0.559 9.149 - clock uncertainty -0.074 9.076 - SLICE_X83Y94 FDRE (Setup_fdre_C_R) -0.429 8.647 displaydriver/timer/xy/y_reg[0] - ------------------------------------------------------------------- - required time 8.647 - arrival time -2.758 - ------------------------------------------------------------------- - slack 5.889 - -Slack (MET) : 5.889ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[1]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.574ns (logic 0.890ns (24.903%) route 2.684ns (75.097%)) - Logic Levels: 3 (LUT6=3) - Clock Path Skew: -0.035ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.410ns = ( 8.590 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.518 -0.298 f displaydriver/timer/xy/x_reg[5]/Q - net (fo=71, routed) 1.267 0.969 displaydriver/timer/xy/Q[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I3_O) 0.124 1.093 r displaydriver/timer/xy/y[9]_i_6/O - net (fo=1, routed) 0.299 1.392 displaydriver/timer/xy/n_0_y[9]_i_6 - SLICE_X82Y94 LUT6 (Prop_lut6_I5_O) 0.124 1.516 r displaydriver/timer/xy/y[9]_i_4/O - net (fo=1, routed) 0.387 1.903 displaydriver/timer/xy/n_0_y[9]_i_4 - SLICE_X81Y94 LUT6 (Prop_lut6_I5_O) 0.124 2.027 r displaydriver/timer/xy/y[9]_i_1/O - net (fo=10, routed) 0.731 2.758 displaydriver/timer/xy/n_0_y[9]_i_1 - SLICE_X83Y94 FDRE r displaydriver/timer/xy/y_reg[1]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.610 8.590 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[1]/C - clock pessimism 0.559 9.149 - clock uncertainty -0.074 9.076 - SLICE_X83Y94 FDRE (Setup_fdre_C_R) -0.429 8.647 displaydriver/timer/xy/y_reg[1] - ------------------------------------------------------------------- - required time 8.647 - arrival time -2.758 - ------------------------------------------------------------------- - slack 5.889 - -Slack (MET) : 5.889ns (required time - arrival time) - Source: displaydriver/timer/xy/x_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[5]/R - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout0 rise@10.000ns - clkout0 rise@0.000ns) - Data Path Delay: 3.574ns (logic 0.890ns (24.903%) route 2.684ns (75.097%)) - Logic Levels: 3 (LUT6=3) - Clock Path Skew: -0.035ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.410ns = ( 8.590 - 10.000 ) - Source Clock Delay (SCD): -0.816ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.074ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.129ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 -4.111 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.475 -2.636 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf100/O - net (fo=26, routed) 1.724 -0.816 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.518 -0.298 f displaydriver/timer/xy/x_reg[5]/Q - net (fo=71, routed) 1.267 0.969 displaydriver/timer/xy/Q[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I3_O) 0.124 1.093 r displaydriver/timer/xy/y[9]_i_6/O - net (fo=1, routed) 0.299 1.392 displaydriver/timer/xy/n_0_y[9]_i_6 - SLICE_X82Y94 LUT6 (Prop_lut6_I5_O) 0.124 1.516 r displaydriver/timer/xy/y[9]_i_4/O - net (fo=1, routed) 0.387 1.903 displaydriver/timer/xy/n_0_y[9]_i_4 - SLICE_X81Y94 LUT6 (Prop_lut6_I5_O) 0.124 2.027 r displaydriver/timer/xy/y[9]_i_1/O - net (fo=10, routed) 0.731 2.758 displaydriver/timer/xy/n_0_y[9]_i_1 - SLICE_X83Y94 FDRE r displaydriver/timer/xy/y_reg[5]/R - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 10.000 10.000 r - E3 0.000 10.000 r clk - net (fo=0) 0.000 10.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 11.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 12.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -7.087 5.486 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.402 6.888 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 6.979 r clkdv/buf100/O - net (fo=26, routed) 1.610 8.590 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[5]/C - clock pessimism 0.559 9.149 - clock uncertainty -0.074 9.076 - SLICE_X83Y94 FDRE (Setup_fdre_C_R) -0.429 8.647 displaydriver/timer/xy/y_reg[5] - ------------------------------------------------------------------- - required time 8.647 - arrival time -2.758 - ------------------------------------------------------------------- - slack 5.889 - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 0.192ns (arrival time - required time) - Source: displaydriver/timer/xy/x_reg[1]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[5]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.353ns (logic 0.186ns (52.635%) route 0.167ns (47.365%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.040ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.799ns - Source Clock Delay (SCD): -0.564ns - Clock Pessimism Removal (CPR): -0.275ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.600 -0.564 displaydriver/timer/xy/clk100 - SLICE_X79Y96 r displaydriver/timer/xy/x_reg[1]/C - ------------------------------------------------------------------- ------------------- - SLICE_X79Y96 FDRE (Prop_fdre_C_Q) 0.141 -0.423 r displaydriver/timer/xy/x_reg[1]/Q - net (fo=9, routed) 0.167 -0.256 displaydriver/timer/xy/bmem_addr[1] - SLICE_X80Y96 LUT6 (Prop_lut6_I3_O) 0.045 -0.211 r displaydriver/timer/xy/x[5]_i_1/O - net (fo=1, routed) 0.000 -0.211 displaydriver/timer/xy/sel0[5] - SLICE_X80Y96 FDRE r displaydriver/timer/xy/x_reg[5]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.874 -0.799 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]/C - clock pessimism 0.275 -0.524 - SLICE_X80Y96 FDRE (Hold_fdre_C_D) 0.121 -0.403 displaydriver/timer/xy/x_reg[5] - ------------------------------------------------------------------- - required time 0.403 - arrival time -0.211 - ------------------------------------------------------------------- - slack 0.192 - -Slack (MET) : 0.211ns (arrival time - required time) - Source: displaydriver/timer/xy/y_reg[0]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[3]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.331ns (logic 0.189ns (57.035%) route 0.142ns (42.965%)) - Logic Levels: 1 (LUT4=1) - Clock Path Skew: 0.013ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.795ns - Source Clock Delay (SCD): -0.558ns - Clock Pessimism Removal (CPR): -0.250ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.606 -0.558 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X83Y94 FDRE (Prop_fdre_C_Q) 0.141 -0.417 r displaydriver/timer/xy/y_reg[0]/Q - net (fo=8, routed) 0.142 -0.275 displaydriver/timer/xy/bmem_addr[4] - SLICE_X82Y94 LUT4 (Prop_lut4_I1_O) 0.048 -0.227 r displaydriver/timer/xy/y[3]_i_1/O - net (fo=1, routed) 0.000 -0.227 displaydriver/timer/xy/n_0_y[3]_i_1 - SLICE_X82Y94 FDRE r displaydriver/timer/xy/y_reg[3]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.878 -0.795 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[3]/C - clock pessimism 0.250 -0.545 - SLICE_X82Y94 FDRE (Hold_fdre_C_D) 0.107 -0.438 displaydriver/timer/xy/y_reg[3] - ------------------------------------------------------------------- - required time 0.438 - arrival time -0.227 - ------------------------------------------------------------------- - slack 0.211 - -Slack (MET) : 0.213ns (arrival time - required time) - Source: displaydriver/timer/xy/y_reg[2]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[5]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.318ns (logic 0.186ns (58.467%) route 0.132ns (41.533%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.013ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.795ns - Source Clock Delay (SCD): -0.558ns - Clock Pessimism Removal (CPR): -0.250ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.606 -0.558 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[2]/C - ------------------------------------------------------------------- ------------------- - SLICE_X82Y94 FDRE (Prop_fdre_C_Q) 0.141 -0.417 r displaydriver/timer/xy/y_reg[2]/Q - net (fo=7, routed) 0.132 -0.285 displaydriver/timer/xy/bmem_addr[6] - SLICE_X83Y94 LUT6 (Prop_lut6_I1_O) 0.045 -0.240 r displaydriver/timer/xy/y[5]_i_1/O - net (fo=1, routed) 0.000 -0.240 displaydriver/timer/xy/n_0_y[5]_i_1 - SLICE_X83Y94 FDRE r displaydriver/timer/xy/y_reg[5]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.878 -0.795 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[5]/C - clock pessimism 0.250 -0.545 - SLICE_X83Y94 FDRE (Hold_fdre_C_D) 0.092 -0.453 displaydriver/timer/xy/y_reg[5] - ------------------------------------------------------------------- - required time 0.453 - arrival time -0.240 - ------------------------------------------------------------------- - slack 0.213 - -Slack (MET) : 0.216ns (arrival time - required time) - Source: displaydriver/timer/xy/y_reg[0]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[4]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.333ns (logic 0.190ns (56.993%) route 0.143ns (43.007%)) - Logic Levels: 1 (LUT5=1) - Clock Path Skew: 0.013ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.795ns - Source Clock Delay (SCD): -0.558ns - Clock Pessimism Removal (CPR): -0.250ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.606 -0.558 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X83Y94 FDRE (Prop_fdre_C_Q) 0.141 -0.417 r displaydriver/timer/xy/y_reg[0]/Q - net (fo=8, routed) 0.143 -0.274 displaydriver/timer/xy/bmem_addr[4] - SLICE_X82Y94 LUT5 (Prop_lut5_I2_O) 0.049 -0.225 r displaydriver/timer/xy/y[4]_i_1/O - net (fo=1, routed) 0.000 -0.225 displaydriver/timer/xy/n_0_y[4]_i_1 - SLICE_X82Y94 FDRE r displaydriver/timer/xy/y_reg[4]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.878 -0.795 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[4]/C - clock pessimism 0.250 -0.545 - SLICE_X82Y94 FDRE (Hold_fdre_C_D) 0.104 -0.441 displaydriver/timer/xy/y_reg[4] - ------------------------------------------------------------------- - required time 0.441 - arrival time -0.225 - ------------------------------------------------------------------- - slack 0.216 - -Slack (MET) : 0.224ns (arrival time - required time) - Source: displaydriver/timer/xy/y_reg[0]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[2]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.328ns (logic 0.186ns (56.642%) route 0.142ns (43.358%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.013ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.795ns - Source Clock Delay (SCD): -0.558ns - Clock Pessimism Removal (CPR): -0.250ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.606 -0.558 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X83Y94 FDRE (Prop_fdre_C_Q) 0.141 -0.417 r displaydriver/timer/xy/y_reg[0]/Q - net (fo=8, routed) 0.142 -0.275 displaydriver/timer/xy/bmem_addr[4] - SLICE_X82Y94 LUT3 (Prop_lut3_I1_O) 0.045 -0.230 r displaydriver/timer/xy/y[2]_i_1/O - net (fo=1, routed) 0.000 -0.230 displaydriver/timer/xy/n_0_y[2]_i_1 - SLICE_X82Y94 FDRE r displaydriver/timer/xy/y_reg[2]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.878 -0.795 displaydriver/timer/xy/clk100 - SLICE_X82Y94 r displaydriver/timer/xy/y_reg[2]/C - clock pessimism 0.250 -0.545 - SLICE_X82Y94 FDRE (Hold_fdre_C_D) 0.091 -0.454 displaydriver/timer/xy/y_reg[2] - ------------------------------------------------------------------- - required time 0.454 - arrival time -0.230 - ------------------------------------------------------------------- - slack 0.224 - -Slack (MET) : 0.231ns (arrival time - required time) - Source: displaydriver/timer/xy/x_reg[3]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[5]_rep/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.351ns (logic 0.246ns (70.151%) route 0.105ns (29.849%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.799ns - Source Clock Delay (SCD): -0.562ns - Clock Pessimism Removal (CPR): -0.237ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.602 -0.562 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[3]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.148 -0.414 r displaydriver/timer/xy/x_reg[3]/Q - net (fo=7, routed) 0.105 -0.310 displaydriver/timer/xy/bmem_addr[3] - SLICE_X80Y96 LUT6 (Prop_lut6_I4_O) 0.098 -0.212 r displaydriver/timer/xy/x[5]_rep_i_1/O - net (fo=1, routed) 0.000 -0.212 displaydriver/timer/xy/n_0_x[5]_rep_i_1 - SLICE_X80Y96 FDRE r displaydriver/timer/xy/x_reg[5]_rep/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.874 -0.799 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[5]_rep/C - clock pessimism 0.237 -0.562 - SLICE_X80Y96 FDRE (Hold_fdre_C_D) 0.120 -0.442 displaydriver/timer/xy/x_reg[5]_rep - ------------------------------------------------------------------- - required time 0.442 - arrival time -0.212 - ------------------------------------------------------------------- - slack 0.231 - -Slack (MET) : 0.232ns (arrival time - required time) - Source: displaydriver/timer/xy/y_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/y_reg[9]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.340ns (logic 0.186ns (54.772%) route 0.154ns (45.228%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.795ns - Source Clock Delay (SCD): -0.558ns - Clock Pessimism Removal (CPR): -0.253ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.606 -0.558 displaydriver/timer/xy/clk100 - SLICE_X83Y94 r displaydriver/timer/xy/y_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X83Y94 FDRE (Prop_fdre_C_Q) 0.141 -0.417 r displaydriver/timer/xy/y_reg[5]/Q - net (fo=9, routed) 0.154 -0.264 displaydriver/timer/xy/O1[1] - SLICE_X83Y93 LUT6 (Prop_lut6_I1_O) 0.045 -0.219 r displaydriver/timer/xy/y[9]_i_2/O - net (fo=1, routed) 0.000 -0.219 displaydriver/timer/xy/n_0_y[9]_i_2 - SLICE_X83Y93 FDRE r displaydriver/timer/xy/y_reg[9]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.878 -0.795 displaydriver/timer/xy/clk100 - SLICE_X83Y93 r displaydriver/timer/xy/y_reg[9]/C - clock pessimism 0.253 -0.542 - SLICE_X83Y93 FDRE (Hold_fdre_C_D) 0.092 -0.450 displaydriver/timer/xy/y_reg[9] - ------------------------------------------------------------------- - required time 0.450 - arrival time -0.219 - ------------------------------------------------------------------- - slack 0.232 - -Slack (MET) : 0.242ns (arrival time - required time) - Source: displaydriver/timer/xy/x_reg[0]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/xy/x_reg[5]_rep__0/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.370ns (logic 0.209ns (56.465%) route 0.161ns (43.535%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.036ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.801ns - Source Clock Delay (SCD): -0.562ns - Clock Pessimism Removal (CPR): -0.275ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.602 -0.562 displaydriver/timer/xy/clk100 - SLICE_X80Y96 r displaydriver/timer/xy/x_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X80Y96 FDRE (Prop_fdre_C_Q) 0.164 -0.398 r displaydriver/timer/xy/x_reg[0]/Q - net (fo=10, routed) 0.161 -0.237 displaydriver/timer/xy/bmem_addr[0] - SLICE_X79Y96 LUT6 (Prop_lut6_I2_O) 0.045 -0.192 r displaydriver/timer/xy/x[5]_rep_i_1__0/O - net (fo=1, routed) 0.000 -0.192 displaydriver/timer/xy/n_0_x[5]_rep_i_1__0 - SLICE_X79Y96 FDRE r displaydriver/timer/xy/x_reg[5]_rep__0/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.872 -0.801 displaydriver/timer/xy/clk100 - SLICE_X79Y96 r displaydriver/timer/xy/x_reg[5]_rep__0/C - clock pessimism 0.275 -0.526 - SLICE_X79Y96 FDRE (Hold_fdre_C_D) 0.092 -0.434 displaydriver/timer/xy/x_reg[5]_rep__0 - ------------------------------------------------------------------- - required time 0.434 - arrival time -0.192 - ------------------------------------------------------------------- - slack 0.242 - -Slack (MET) : 0.243ns (arrival time - required time) - Source: displaydriver/timer/clk_count_reg[0]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/clk_count_reg[1]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.350ns (logic 0.183ns (52.285%) route 0.167ns (47.715%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.800ns - Source Clock Delay (SCD): -0.563ns - Clock Pessimism Removal (CPR): -0.237ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.601 -0.563 displaydriver/timer/clk100 - SLICE_X79Y97 r displaydriver/timer/clk_count_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X79Y97 FDRE (Prop_fdre_C_Q) 0.141 -0.422 r displaydriver/timer/clk_count_reg[0]/Q - net (fo=4, routed) 0.167 -0.255 displaydriver/timer/clk_count[0] - SLICE_X79Y97 LUT2 (Prop_lut2_I0_O) 0.042 -0.213 r displaydriver/timer/clk_count[1]_i_1/O - net (fo=1, routed) 0.000 -0.213 displaydriver/timer/p_0_in[1] - SLICE_X79Y97 FDRE r displaydriver/timer/clk_count_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.873 -0.800 displaydriver/timer/clk100 - SLICE_X79Y97 r displaydriver/timer/clk_count_reg[1]/C - clock pessimism 0.237 -0.563 - SLICE_X79Y97 FDRE (Hold_fdre_C_D) 0.107 -0.456 displaydriver/timer/clk_count_reg[1] - ------------------------------------------------------------------- - required time 0.456 - arrival time -0.213 - ------------------------------------------------------------------- - slack 0.243 - -Slack (MET) : 0.262ns (arrival time - required time) - Source: displaydriver/timer/clk_count_reg[0]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: displaydriver/timer/clk_count_reg[0]/D - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Path Group: clkout0 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout0 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.353ns (logic 0.186ns (52.691%) route 0.167ns (47.309%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.800ns - Source Clock Delay (SCD): -0.563ns - Clock Pessimism Removal (CPR): -0.237ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.413 -1.190 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf100/O - net (fo=26, routed) 0.601 -0.563 displaydriver/timer/clk100 - SLICE_X79Y97 r displaydriver/timer/clk_count_reg[0]/C - ------------------------------------------------------------------- ------------------- - SLICE_X79Y97 FDRE (Prop_fdre_C_Q) 0.141 -0.422 f displaydriver/timer/clk_count_reg[0]/Q - net (fo=4, routed) 0.167 -0.255 displaydriver/timer/clk_count[0] - SLICE_X79Y97 LUT1 (Prop_lut1_I0_O) 0.045 -0.210 r displaydriver/timer/clk_count[0]_i_1/O - net (fo=1, routed) 0.000 -0.210 displaydriver/timer/p_0_in[0] - SLICE_X79Y97 FDRE r displaydriver/timer/clk_count_reg[0]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -3.067 -2.149 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.448 -1.702 clkdv/clkout0 - BUFGCTRL_X0Y17 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf100/O - net (fo=26, routed) 0.873 -0.800 displaydriver/timer/clk100 - SLICE_X79Y97 r displaydriver/timer/clk_count_reg[0]/C - clock pessimism 0.237 -0.563 - SLICE_X79Y97 FDRE (Hold_fdre_C_D) 0.091 -0.472 displaydriver/timer/clk_count_reg[0] - ------------------------------------------------------------------- - required time 0.472 - arrival time -0.210 - ------------------------------------------------------------------- - slack 0.262 - - - - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clkout0 -Waveform: { 0 5 } -Period: 10.000 -Sources: { clkdv/mmcm/CLKOUT0 } - -Check Type Corner Lib Pin Reference Pin Required Actual Slack Location Pin -Min Period n/a BUFGCTRL/I0 n/a 2.155 10.000 7.845 BUFGCTRL_X0Y17 clkdv/buf100/I0 -Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT0 -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X54Y101 clkdv/start_cnt_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X54Y101 clkdv/start_cnt_reg[1]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X54Y101 clkdv/start_cnt_reg[2]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X79Y97 displaydriver/timer/clk_count_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X79Y97 displaydriver/timer/clk_count_reg[1]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X80Y96 displaydriver/timer/xy/x_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X79Y96 displaydriver/timer/xy/x_reg[1]/C -Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X79Y96 displaydriver/timer/xy/x_reg[2]/C -Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT0 -Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[1]/C -Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[2]/C -Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y97 displaydriver/timer/clk_count_reg[0]/C -Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y97 displaydriver/timer/clk_count_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y97 displaydriver/timer/clk_count_reg[1]/C -Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y97 displaydriver/timer/clk_count_reg[1]/C -Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X80Y96 displaydriver/timer/xy/x_reg[0]/C -Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y96 displaydriver/timer/xy/x_reg[1]/C -Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y96 displaydriver/timer/xy/x_reg[2]/C -High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[0]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[1]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[2]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X54Y101 clkdv/start_cnt_reg[2]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y96 displaydriver/timer/xy/x_reg[1]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y96 displaydriver/timer/xy/x_reg[2]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y96 displaydriver/timer/xy/x_reg[4]/C -High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X79Y96 displaydriver/timer/xy/x_reg[4]_rep/C - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkout1 - To Clock: clkout1 - -Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA -Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA -PW : 0 Failing Endpoints, Worst Slack 18.751ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clkout1 -Waveform: { 0 10 } -Period: 20.000 -Sources: { clkdv/mmcm/CLKOUT1 } - -Check Type Corner Lib Pin Reference Pin Required Actual Slack Location Pin -Min Period n/a MMCME2_ADV/CLKOUT1 n/a 1.249 20.000 18.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT1 -Max Period n/a MMCME2_ADV/CLKOUT1 n/a 213.360 20.000 193.360 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT1 - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkout2 - To Clock: clkout2 - -Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA -Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA -PW : 0 Failing Endpoints, Worst Slack 38.751ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clkout2 -Waveform: { 0 20 } -Period: 40.000 -Sources: { clkdv/mmcm/CLKOUT2 } - -Check Type Corner Lib Pin Reference Pin Required Actual Slack Location Pin -Min Period n/a MMCME2_ADV/CLKOUT2 n/a 1.249 40.000 38.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT2 -Max Period n/a MMCME2_ADV/CLKOUT2 n/a 213.360 40.000 173.360 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT2 - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkout3 - To Clock: clkout3 - -Setup : 0 Failing Endpoints, Worst Slack 52.402ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.094ns, Total Violation 0.000ns -PW : 0 Failing Endpoints, Worst Slack 38.750ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 52.402ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 27.284ns (logic 3.224ns (11.817%) route 24.060ns (88.183%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.037ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.432ns = ( 78.568 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 4.728 21.964 io/smem/mem_reg_512_639_4_4/A0 - SLICE_X66Y94 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 22.088 r io/smem/mem_reg_512_639_4_4/SP.LOW/O - net (fo=1, routed) 0.000 22.088 io/smem/mem_reg_512_639_4_4/SPO0 - SLICE_X66Y94 MUXF7 (Prop_muxf7_I0_O) 0.241 22.329 r io/smem/mem_reg_512_639_4_4/F7.SP/O - net (fo=1, routed) 0.905 23.235 mips/dp/rf/I26 - SLICE_X72Y93 LUT4 (Prop_lut4_I3_O) 0.298 23.533 r mips/dp/rf/reg_r1_0_31_0_5_i_109/O - net (fo=1, routed) 0.790 24.323 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_109 - SLICE_X72Y90 LUT6 (Prop_lut6_I1_O) 0.124 24.447 r mips/dp/rf/reg_r1_0_31_0_5_i_47/O - net (fo=1, routed) 1.026 25.473 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_47 - SLICE_X77Y84 LUT6 (Prop_lut6_I1_O) 0.124 25.597 r mips/dp/rf/reg_r1_0_31_0_5_i_7/O - net (fo=2, routed) 0.851 26.448 mips/dp/rf/rf_reg_r2_0_31_0_5/DIC0 - SLICE_X78Y78 RAMD32 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.588 78.568 mips/dp/rf/rf_reg_r2_0_31_0_5/WCLK - SLICE_X78Y78 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC/CLK - clock pessimism 0.559 79.127 - clock uncertainty -0.102 79.025 - SLICE_X78Y78 RAMD32 (Setup_ramd32_CLK_I) - -0.175 78.850 mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC - ------------------------------------------------------------------- - required time 78.850 - arrival time -26.448 - ------------------------------------------------------------------- - slack 52.402 - -Slack (MET) : 52.515ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r1_0_31_0_5/RAMB_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 27.116ns (logic 3.224ns (11.890%) route 23.892ns (88.110%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.039ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.434ns = ( 78.566 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 4.797 22.033 io/smem/mem_reg_512_639_3_3/A0 - SLICE_X70Y94 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 22.157 r io/smem/mem_reg_512_639_3_3/SP.LOW/O - net (fo=1, routed) 0.000 22.157 io/smem/mem_reg_512_639_3_3/SPO0 - SLICE_X70Y94 MUXF7 (Prop_muxf7_I0_O) 0.241 22.398 r io/smem/mem_reg_512_639_3_3/F7.SP/O - net (fo=1, routed) 0.671 23.069 mips/dp/rf/I22 - SLICE_X72Y92 LUT4 (Prop_lut4_I3_O) 0.298 23.367 r mips/dp/rf/reg_r1_0_31_0_5_i_94/O - net (fo=1, routed) 1.082 24.449 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_94 - SLICE_X77Y90 LUT6 (Prop_lut6_I1_O) 0.124 24.573 r mips/dp/rf/reg_r1_0_31_0_5_i_35/O - net (fo=1, routed) 0.895 25.468 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_35 - SLICE_X79Y86 LUT6 (Prop_lut6_I1_O) 0.124 25.592 r mips/dp/rf/reg_r1_0_31_0_5_i_4/O - net (fo=2, routed) 0.689 26.280 mips/dp/rf/rf_reg_r1_0_31_0_5/DIB1 - SLICE_X78Y77 RAMD32 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMB_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.586 78.566 mips/dp/rf/rf_reg_r1_0_31_0_5/WCLK - SLICE_X78Y77 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMB_D1/CLK - clock pessimism 0.559 79.125 - clock uncertainty -0.102 79.023 - SLICE_X78Y77 RAMD32 (Setup_ramd32_CLK_I) - -0.228 78.795 mips/dp/rf/rf_reg_r1_0_31_0_5/RAMB_D1 - ------------------------------------------------------------------- - required time 78.795 - arrival time -26.280 - ------------------------------------------------------------------- - slack 52.515 - -Slack (MET) : 52.541ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 27.143ns (logic 3.224ns (11.878%) route 23.919ns (88.122%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.039ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.434ns = ( 78.566 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 4.728 21.964 io/smem/mem_reg_512_639_4_4/A0 - SLICE_X66Y94 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 22.088 r io/smem/mem_reg_512_639_4_4/SP.LOW/O - net (fo=1, routed) 0.000 22.088 io/smem/mem_reg_512_639_4_4/SPO0 - SLICE_X66Y94 MUXF7 (Prop_muxf7_I0_O) 0.241 22.329 r io/smem/mem_reg_512_639_4_4/F7.SP/O - net (fo=1, routed) 0.905 23.235 mips/dp/rf/I26 - SLICE_X72Y93 LUT4 (Prop_lut4_I3_O) 0.298 23.533 r mips/dp/rf/reg_r1_0_31_0_5_i_109/O - net (fo=1, routed) 0.790 24.323 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_109 - SLICE_X72Y90 LUT6 (Prop_lut6_I1_O) 0.124 24.447 r mips/dp/rf/reg_r1_0_31_0_5_i_47/O - net (fo=1, routed) 1.026 25.473 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_47 - SLICE_X77Y84 LUT6 (Prop_lut6_I1_O) 0.124 25.597 r mips/dp/rf/reg_r1_0_31_0_5_i_7/O - net (fo=2, routed) 0.710 26.307 mips/dp/rf/rf_reg_r1_0_31_0_5/DIC0 - SLICE_X78Y77 RAMD32 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.586 78.566 mips/dp/rf/rf_reg_r1_0_31_0_5/WCLK - SLICE_X78Y77 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC/CLK - clock pessimism 0.559 79.125 - clock uncertainty -0.102 79.023 - SLICE_X78Y77 RAMD32 (Setup_ramd32_CLK_I) - -0.175 78.848 mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC - ------------------------------------------------------------------- - required time 78.848 - arrival time -26.307 - ------------------------------------------------------------------- - slack 52.541 - -Slack (MET) : 52.657ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r2_0_31_0_5/RAMB_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 26.976ns (logic 3.224ns (11.951%) route 23.752ns (88.049%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.037ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.432ns = ( 78.568 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 4.797 22.033 io/smem/mem_reg_512_639_3_3/A0 - SLICE_X70Y94 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 22.157 r io/smem/mem_reg_512_639_3_3/SP.LOW/O - net (fo=1, routed) 0.000 22.157 io/smem/mem_reg_512_639_3_3/SPO0 - SLICE_X70Y94 MUXF7 (Prop_muxf7_I0_O) 0.241 22.398 r io/smem/mem_reg_512_639_3_3/F7.SP/O - net (fo=1, routed) 0.671 23.069 mips/dp/rf/I22 - SLICE_X72Y92 LUT4 (Prop_lut4_I3_O) 0.298 23.367 r mips/dp/rf/reg_r1_0_31_0_5_i_94/O - net (fo=1, routed) 1.082 24.449 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_94 - SLICE_X77Y90 LUT6 (Prop_lut6_I1_O) 0.124 24.573 r mips/dp/rf/reg_r1_0_31_0_5_i_35/O - net (fo=1, routed) 0.895 25.468 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_35 - SLICE_X79Y86 LUT6 (Prop_lut6_I1_O) 0.124 25.592 r mips/dp/rf/reg_r1_0_31_0_5_i_4/O - net (fo=2, routed) 0.549 26.140 mips/dp/rf/rf_reg_r2_0_31_0_5/DIB1 - SLICE_X78Y78 RAMD32 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMB_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.588 78.568 mips/dp/rf/rf_reg_r2_0_31_0_5/WCLK - SLICE_X78Y78 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMB_D1/CLK - clock pessimism 0.559 79.127 - clock uncertainty -0.102 79.025 - SLICE_X78Y78 RAMD32 (Setup_ramd32_CLK_I) - -0.228 78.797 mips/dp/rf/rf_reg_r2_0_31_0_5/RAMB_D1 - ------------------------------------------------------------------- - required time 78.797 - arrival time -26.140 - ------------------------------------------------------------------- - slack 52.657 - -Slack (MET) : 53.196ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r2_0_31_0_5/RAMA_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 26.407ns (logic 3.224ns (12.209%) route 23.183ns (87.791%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.037ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.432ns = ( 78.568 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 2.985 20.221 io/smem/mem_reg_896_1023_1_1/A0 - SLICE_X78Y93 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 20.345 r io/smem/mem_reg_896_1023_1_1/SP.LOW/O - net (fo=1, routed) 0.000 20.345 io/smem/mem_reg_896_1023_1_1/SPO0 - SLICE_X78Y93 MUXF7 (Prop_muxf7_I0_O) 0.241 20.586 r io/smem/mem_reg_896_1023_1_1/F7.SP/O - net (fo=1, routed) 0.965 21.551 mips/dp/rf/I45 - SLICE_X79Y91 LUT4 (Prop_lut4_I2_O) 0.298 21.849 r mips/dp/rf/reg_r1_0_31_0_5_i_73/O - net (fo=1, routed) 1.094 22.943 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_73 - SLICE_X81Y90 LUT6 (Prop_lut6_I0_O) 0.124 23.067 r mips/dp/rf/reg_r1_0_31_0_5_i_25/O - net (fo=1, routed) 1.236 24.303 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_25 - SLICE_X82Y82 LUT6 (Prop_lut6_I1_O) 0.124 24.427 r mips/dp/rf/reg_r1_0_31_0_5_i_2/O - net (fo=2, routed) 1.144 25.571 mips/dp/rf/rf_reg_r2_0_31_0_5/DIA1 - SLICE_X78Y78 RAMD32 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMA_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.588 78.568 mips/dp/rf/rf_reg_r2_0_31_0_5/WCLK - SLICE_X78Y78 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMA_D1/CLK - clock pessimism 0.559 79.127 - clock uncertainty -0.102 79.025 - SLICE_X78Y78 RAMD32 (Setup_ramd32_CLK_I) - -0.258 78.767 mips/dp/rf/rf_reg_r2_0_31_0_5/RAMA_D1 - ------------------------------------------------------------------- - required time 78.767 - arrival time -25.571 - ------------------------------------------------------------------- - slack 53.196 - -Slack (MET) : 53.394ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 26.216ns (logic 3.224ns (12.298%) route 22.992ns (87.702%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.039ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.434ns = ( 78.566 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 3.699 20.936 io/smem/mem_reg_512_639_5_5/A0 - SLICE_X74Y96 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 21.060 r io/smem/mem_reg_512_639_5_5/SP.LOW/O - net (fo=1, routed) 0.000 21.060 io/smem/mem_reg_512_639_5_5/SPO0 - SLICE_X74Y96 MUXF7 (Prop_muxf7_I0_O) 0.241 21.301 r io/smem/mem_reg_512_639_5_5/F7.SP/O - net (fo=1, routed) 0.869 22.170 mips/dp/rf/I30 - SLICE_X77Y92 LUT4 (Prop_lut4_I3_O) 0.298 22.468 r mips/dp/rf/reg_r1_0_31_0_5_i_104/O - net (fo=1, routed) 0.810 23.277 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_104 - SLICE_X77Y90 LUT6 (Prop_lut6_I1_O) 0.124 23.401 r mips/dp/rf/reg_r1_0_31_0_5_i_43/O - net (fo=1, routed) 0.850 24.251 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_43 - SLICE_X77Y83 LUT6 (Prop_lut6_I1_O) 0.124 24.375 r mips/dp/rf/reg_r1_0_31_0_5_i_6/O - net (fo=2, routed) 1.005 25.380 mips/dp/rf/rf_reg_r1_0_31_0_5/DIC1 - SLICE_X78Y77 RAMD32 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.586 78.566 mips/dp/rf/rf_reg_r1_0_31_0_5/WCLK - SLICE_X78Y77 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC_D1/CLK - clock pessimism 0.559 79.125 - clock uncertainty -0.102 79.023 - SLICE_X78Y77 RAMD32 (Setup_ramd32_CLK_I) - -0.249 78.774 mips/dp/rf/rf_reg_r1_0_31_0_5/RAMC_D1 - ------------------------------------------------------------------- - required time 78.774 - arrival time -25.380 - ------------------------------------------------------------------- - slack 53.394 - -Slack (MET) : 53.538ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 26.063ns (logic 3.224ns (12.370%) route 22.839ns (87.630%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.039ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.434ns = ( 78.566 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 2.985 20.221 io/smem/mem_reg_896_1023_1_1/A0 - SLICE_X78Y93 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 20.345 r io/smem/mem_reg_896_1023_1_1/SP.LOW/O - net (fo=1, routed) 0.000 20.345 io/smem/mem_reg_896_1023_1_1/SPO0 - SLICE_X78Y93 MUXF7 (Prop_muxf7_I0_O) 0.241 20.586 r io/smem/mem_reg_896_1023_1_1/F7.SP/O - net (fo=1, routed) 0.965 21.551 mips/dp/rf/I45 - SLICE_X79Y91 LUT4 (Prop_lut4_I2_O) 0.298 21.849 r mips/dp/rf/reg_r1_0_31_0_5_i_73/O - net (fo=1, routed) 1.094 22.943 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_73 - SLICE_X81Y90 LUT6 (Prop_lut6_I0_O) 0.124 23.067 r mips/dp/rf/reg_r1_0_31_0_5_i_25/O - net (fo=1, routed) 1.236 24.303 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_25 - SLICE_X82Y82 LUT6 (Prop_lut6_I1_O) 0.124 24.427 r mips/dp/rf/reg_r1_0_31_0_5_i_2/O - net (fo=2, routed) 0.800 25.227 mips/dp/rf/rf_reg_r1_0_31_0_5/DIA1 - SLICE_X78Y77 RAMD32 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.586 78.566 mips/dp/rf/rf_reg_r1_0_31_0_5/WCLK - SLICE_X78Y77 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA_D1/CLK - clock pessimism 0.559 79.125 - clock uncertainty -0.102 79.023 - SLICE_X78Y77 RAMD32 (Setup_ramd32_CLK_I) - -0.258 78.765 mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA_D1 - ------------------------------------------------------------------- - required time 78.765 - arrival time -25.227 - ------------------------------------------------------------------- - slack 53.538 - -Slack (MET) : 53.673ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 25.939ns (logic 3.224ns (12.429%) route 22.715ns (87.571%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.037ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.432ns = ( 78.568 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 3.699 20.936 io/smem/mem_reg_512_639_5_5/A0 - SLICE_X74Y96 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 21.060 r io/smem/mem_reg_512_639_5_5/SP.LOW/O - net (fo=1, routed) 0.000 21.060 io/smem/mem_reg_512_639_5_5/SPO0 - SLICE_X74Y96 MUXF7 (Prop_muxf7_I0_O) 0.241 21.301 r io/smem/mem_reg_512_639_5_5/F7.SP/O - net (fo=1, routed) 0.869 22.170 mips/dp/rf/I30 - SLICE_X77Y92 LUT4 (Prop_lut4_I3_O) 0.298 22.468 r mips/dp/rf/reg_r1_0_31_0_5_i_104/O - net (fo=1, routed) 0.810 23.277 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_104 - SLICE_X77Y90 LUT6 (Prop_lut6_I1_O) 0.124 23.401 r mips/dp/rf/reg_r1_0_31_0_5_i_43/O - net (fo=1, routed) 0.850 24.251 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_43 - SLICE_X77Y83 LUT6 (Prop_lut6_I1_O) 0.124 24.375 r mips/dp/rf/reg_r1_0_31_0_5_i_6/O - net (fo=2, routed) 0.728 25.103 mips/dp/rf/rf_reg_r2_0_31_0_5/DIC1 - SLICE_X78Y78 RAMD32 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.588 78.568 mips/dp/rf/rf_reg_r2_0_31_0_5/WCLK - SLICE_X78Y78 r mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC_D1/CLK - clock pessimism 0.559 79.127 - clock uncertainty -0.102 79.025 - SLICE_X78Y78 RAMD32 (Setup_ramd32_CLK_I) - -0.249 78.776 mips/dp/rf/rf_reg_r2_0_31_0_5/RAMC_D1 - ------------------------------------------------------------------- - required time 78.776 - arrival time -25.104 - ------------------------------------------------------------------- - slack 53.673 - -Slack (MET) : 53.698ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r1_0_31_6_11/RAMA_D1/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 25.916ns (logic 3.460ns (13.351%) route 22.456ns (86.649%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.026ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.437ns = ( 78.563 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.575ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.629 17.078 mips/dp/rf/alu/compResult[0] - SLICE_X68Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.202 r mips/dp/rf/mem_reg_0_127_6_6_i_2/O - net (fo=112, routed) 2.337 19.539 io/smem/mem_reg_1024_1151_7_7/A0 - SLICE_X76Y83 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 19.663 r io/smem/mem_reg_1024_1151_7_7/SP.LOW/O - net (fo=1, routed) 0.000 19.663 io/smem/mem_reg_1024_1151_7_7/SPO0 - SLICE_X76Y83 MUXF7 (Prop_muxf7_I0_O) 0.241 19.904 r io/smem/mem_reg_1024_1151_7_7/F7.SP/O - net (fo=1, routed) 1.107 21.011 io/smem/n_1_mem_reg_1024_1151_7_7 - SLICE_X79Y83 LUT6 (Prop_lut6_I0_O) 0.298 21.309 r io/smem/rf_reg_r1_0_31_6_11_i_28/O - net (fo=1, routed) 0.875 22.184 mips/dp/rf/I78 - SLICE_X82Y83 LUT4 (Prop_lut4_I3_O) 0.152 22.336 r mips/dp/rf/reg_r1_0_31_6_11_i_9/O - net (fo=1, routed) 1.228 23.564 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_9 - SLICE_X73Y86 LUT6 (Prop_lut6_I2_O) 0.332 23.896 r mips/dp/rf/reg_r1_0_31_6_11_i_1/O - net (fo=2, routed) 1.184 25.080 mips/dp/rf/rf_reg_r1_0_31_6_11/DIA1 - SLICE_X76Y77 RAMD32 r mips/dp/rf/rf_reg_r1_0_31_6_11/RAMA_D1/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.583 78.563 mips/dp/rf/rf_reg_r1_0_31_6_11/WCLK - SLICE_X76Y77 r mips/dp/rf/rf_reg_r1_0_31_6_11/RAMA_D1/CLK - clock pessimism 0.575 79.138 - clock uncertainty -0.102 79.036 - SLICE_X76Y77 RAMD32 (Setup_ramd32_CLK_I) - -0.258 78.778 mips/dp/rf/rf_reg_r1_0_31_6_11/RAMA_D1 - ------------------------------------------------------------------- - required time 78.778 - arrival time -25.080 - ------------------------------------------------------------------- - slack 53.698 - -Slack (MET) : 53.993ns (required time - arrival time) - Source: mips/dp/pc_reg[5]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA/I - (rising edge-triggered cell RAMD32 clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 80.000ns (clkout3 rise@80.000ns - clkout3 rise@0.000ns) - Data Path Delay: 25.705ns (logic 3.224ns (12.542%) route 22.481ns (87.458%)) - Logic Levels: 16 (LUT3=2 LUT4=1 LUT5=3 LUT6=7 MUXF7=2 RAMD64E=1) - Clock Path Skew: -0.039ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -1.434ns = ( 78.566 - 80.000 ) - Source Clock Delay (SCD): -0.836ns - Clock Pessimism Removal (CPR): 0.559ns - Clock Uncertainty: 0.102ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 1.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 2.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -6.826 -4.111 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.475 -2.636 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.096 -2.540 r clkdv/buf12/O - net (fo=570, routed) 1.704 -0.836 mips/dp/clk12 - SLICE_X77Y79 r mips/dp/pc_reg[5]/C - ------------------------------------------------------------------- ------------------- - SLICE_X77Y79 FDRE (Prop_fdre_C_Q) 0.456 -0.380 r mips/dp/pc_reg[5]/Q - net (fo=60, routed) 2.911 2.531 mips/dp/rf/Q[5] - SLICE_X82Y78 LUT6 (Prop_lut6_I1_O) 0.124 2.655 r mips/dp/rf/reg_r1_0_31_0_5_i_66/O - net (fo=1, routed) 0.000 2.655 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_66 - SLICE_X82Y78 MUXF7 (Prop_muxf7_I0_O) 0.212 2.867 r mips/dp/rf/reg_r1_0_31_0_5_i_21/O - net (fo=23, routed) 1.273 4.140 mips/dp/rf/O14[20] - SLICE_X79Y80 LUT3 (Prop_lut3_I0_O) 0.299 4.439 r mips/dp/rf/mem_reg_0_127_0_0_i_124/O - net (fo=25, routed) 2.918 7.357 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_124 - SLICE_X77Y77 LUT6 (Prop_lut6_I1_O) 0.124 7.481 r mips/dp/rf/mem_reg_0_127_0_0_i_69/O - net (fo=70, routed) 1.263 8.745 mips/dp/rf/aluA[0] - SLICE_X68Y77 LUT3 (Prop_lut3_I2_O) 0.152 8.897 r mips/dp/rf/mem_reg_0_127_0_0_i_95/O - net (fo=3, routed) 0.971 9.868 mips/dp/rf/alu/AS/add/carry[1] - SLICE_X70Y76 LUT6 (Prop_lut6_I0_O) 0.326 10.194 r mips/dp/rf/mem_reg_0_127_0_0_i_81/O - net (fo=5, routed) 1.627 11.821 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_81 - SLICE_X78Y73 LUT5 (Prop_lut5_I2_O) 0.124 11.945 r mips/dp/rf/mem_reg_1024_1151_0_0_i_4/O - net (fo=10, routed) 1.822 13.767 mips/dp/rf/n_0_mem_reg_1024_1151_0_0_i_4 - SLICE_X70Y77 LUT5 (Prop_lut5_I2_O) 0.124 13.891 r mips/dp/rf/reg_r1_0_31_6_11_i_46/O - net (fo=17, routed) 1.642 15.533 mips/dp/rf/n_0_reg_r1_0_31_6_11_i_46 - SLICE_X66Y85 LUT6 (Prop_lut6_I2_O) 0.124 15.657 r mips/dp/rf/mem_reg_0_127_0_0_i_104/O - net (fo=3, routed) 0.668 16.325 mips/dp/rf/n_0_mem_reg_0_127_0_0_i_104 - SLICE_X67Y85 LUT6 (Prop_lut6_I0_O) 0.124 16.449 r mips/dp/rf/mem_reg_0_127_0_0_i_44/O - net (fo=4, routed) 0.663 17.112 mips/dp/rf/alu/compResult[0] - SLICE_X70Y80 LUT5 (Prop_lut5_I2_O) 0.124 17.236 r mips/dp/rf/mem_reg_0_127_0_0_i_9/O - net (fo=349, routed) 3.692 20.929 io/smem/mem_reg_896_1023_0_0/A0 - SLICE_X76Y94 RAMD64E (Prop_ramd64e_RADR0_O) - 0.124 21.053 r io/smem/mem_reg_896_1023_0_0/SP.LOW/O - net (fo=1, routed) 0.000 21.053 io/smem/mem_reg_896_1023_0_0/SPO0 - SLICE_X76Y94 MUXF7 (Prop_muxf7_I0_O) 0.241 21.294 r io/smem/mem_reg_896_1023_0_0/F7.SP/O - net (fo=1, routed) 0.501 21.795 mips/dp/rf/I41 - SLICE_X77Y94 LUT4 (Prop_lut4_I2_O) 0.298 22.093 r mips/dp/rf/reg_r1_0_31_0_5_i_88/O - net (fo=1, routed) 0.980 23.072 mips/dp/rf/n_0_reg_r1_0_31_0_5_i_88 - SLICE_X77Y90 LUT6 (Prop_lut6_I0_O) 0.124 23.196 r mips/dp/rf/reg_r1_0_31_0_5_i_31/O - net (fo=1, routed) 0.847 24.043 io/dmem/I24 - SLICE_X79Y85 LUT6 (Prop_lut6_I1_O) 0.124 24.167 r io/dmem/rf_reg_r1_0_31_0_5_i_3/O - net (fo=2, routed) 0.703 24.869 mips/dp/rf/rf_reg_r1_0_31_0_5/DIA0 - SLICE_X78Y77 RAMD32 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA/I - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.091 76.979 r clkdv/buf12/O - net (fo=570, routed) 1.586 78.566 mips/dp/rf/rf_reg_r1_0_31_0_5/WCLK - SLICE_X78Y77 r mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA/CLK - clock pessimism 0.559 79.125 - clock uncertainty -0.102 79.023 - SLICE_X78Y77 RAMD32 (Setup_ramd32_CLK_I) - -0.161 78.862 mips/dp/rf/rf_reg_r1_0_31_0_5/RAMA - ------------------------------------------------------------------- - required time 78.862 - arrival time -24.869 - ------------------------------------------------------------------- - slack 53.993 - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 0.094ns (arrival time - required time) - Source: rbouncer/count_reg[11]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: rbouncer/count_reg[12]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.465ns (logic 0.356ns (76.581%) route 0.109ns (23.419%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.838ns - Source Clock Delay (SCD): -0.595ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.569 -0.595 rbouncer/clk12 - SLICE_X69Y99 r rbouncer/count_reg[11]/C - ------------------------------------------------------------------- ------------------- - SLICE_X69Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.454 r rbouncer/count_reg[11]/Q - net (fo=1, routed) 0.108 -0.346 rbouncer/n_0_count_reg[11] - SLICE_X69Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.160 -0.186 r rbouncer/count_reg[8]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.185 rbouncer/n_0_count_reg[8]_i_1 - SLICE_X69Y100 CARRY4 (Prop_carry4_CI_O[0]) - 0.055 -0.130 r rbouncer/count_reg[12]_i_1/O[0] - net (fo=1, routed) 0.000 -0.130 rbouncer/n_7_count_reg[12]_i_1 - SLICE_X69Y100 FDRE r rbouncer/count_reg[12]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.835 -0.838 rbouncer/clk12 - SLICE_X69Y100 r rbouncer/count_reg[12]/C - clock pessimism 0.509 -0.329 - SLICE_X69Y100 FDRE (Hold_fdre_C_D) 0.105 -0.224 rbouncer/count_reg[12] - ------------------------------------------------------------------- - required time 0.224 - arrival time -0.130 - ------------------------------------------------------------------- - slack 0.094 - -Slack (MET) : 0.104ns (arrival time - required time) - Source: rbouncer/count_reg[11]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: rbouncer/count_reg[14]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.475ns (logic 0.366ns (77.074%) route 0.109ns (22.926%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.838ns - Source Clock Delay (SCD): -0.595ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.569 -0.595 rbouncer/clk12 - SLICE_X69Y99 r rbouncer/count_reg[11]/C - ------------------------------------------------------------------- ------------------- - SLICE_X69Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.454 r rbouncer/count_reg[11]/Q - net (fo=1, routed) 0.108 -0.346 rbouncer/n_0_count_reg[11] - SLICE_X69Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.160 -0.186 r rbouncer/count_reg[8]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.185 rbouncer/n_0_count_reg[8]_i_1 - SLICE_X69Y100 CARRY4 (Prop_carry4_CI_O[2]) - 0.065 -0.120 r rbouncer/count_reg[12]_i_1/O[2] - net (fo=1, routed) 0.000 -0.120 rbouncer/n_5_count_reg[12]_i_1 - SLICE_X69Y100 FDRE r rbouncer/count_reg[14]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.835 -0.838 rbouncer/clk12 - SLICE_X69Y100 r rbouncer/count_reg[14]/C - clock pessimism 0.509 -0.329 - SLICE_X69Y100 FDRE (Hold_fdre_C_D) 0.105 -0.224 rbouncer/count_reg[14] - ------------------------------------------------------------------- - required time 0.224 - arrival time -0.120 - ------------------------------------------------------------------- - slack 0.104 - -Slack (MET) : 0.107ns (arrival time - required time) - Source: io/kmem/timeout_reg[6]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: io/kmem/timeout_reg[8]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.478ns (logic 0.356ns (74.454%) route 0.122ns (25.546%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.799ns - Source Clock Delay (SCD): -0.556ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.608 -0.556 io/kmem/clk12 - SLICE_X86Y99 r io/kmem/timeout_reg[6]/C - ------------------------------------------------------------------- ------------------- - SLICE_X86Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.415 r io/kmem/timeout_reg[6]/Q - net (fo=1, routed) 0.121 -0.294 io/kmem/n_0_timeout_reg[6] - SLICE_X86Y99 CARRY4 (Prop_carry4_S[2]_CO[3]) - 0.160 -0.134 r io/kmem/timeout_reg[4]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.133 io/kmem/n_0_timeout_reg[4]_i_1 - SLICE_X86Y100 CARRY4 (Prop_carry4_CI_O[0]) - 0.055 -0.078 r io/kmem/timeout_reg[8]_i_1/O[0] - net (fo=1, routed) 0.000 -0.078 io/kmem/n_7_timeout_reg[8]_i_1 - SLICE_X86Y100 FDRE r io/kmem/timeout_reg[8]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.873 -0.799 io/kmem/clk12 - SLICE_X86Y100 r io/kmem/timeout_reg[8]/C - clock pessimism 0.509 -0.290 - SLICE_X86Y100 FDRE (Hold_fdre_C_D) 0.105 -0.185 io/kmem/timeout_reg[8] - ------------------------------------------------------------------- - required time 0.185 - arrival time -0.078 - ------------------------------------------------------------------- - slack 0.107 - -Slack (MET) : 0.117ns (arrival time - required time) - Source: io/kmem/timeout_reg[6]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: io/kmem/timeout_reg[10]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.488ns (logic 0.366ns (74.977%) route 0.122ns (25.023%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.799ns - Source Clock Delay (SCD): -0.556ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.608 -0.556 io/kmem/clk12 - SLICE_X86Y99 r io/kmem/timeout_reg[6]/C - ------------------------------------------------------------------- ------------------- - SLICE_X86Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.415 r io/kmem/timeout_reg[6]/Q - net (fo=1, routed) 0.121 -0.294 io/kmem/n_0_timeout_reg[6] - SLICE_X86Y99 CARRY4 (Prop_carry4_S[2]_CO[3]) - 0.160 -0.134 r io/kmem/timeout_reg[4]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.133 io/kmem/n_0_timeout_reg[4]_i_1 - SLICE_X86Y100 CARRY4 (Prop_carry4_CI_O[2]) - 0.065 -0.068 r io/kmem/timeout_reg[8]_i_1/O[2] - net (fo=1, routed) 0.000 -0.068 io/kmem/n_5_timeout_reg[8]_i_1 - SLICE_X86Y100 FDRE r io/kmem/timeout_reg[10]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.873 -0.799 io/kmem/clk12 - SLICE_X86Y100 r io/kmem/timeout_reg[10]/C - clock pessimism 0.509 -0.290 - SLICE_X86Y100 FDRE (Hold_fdre_C_D) 0.105 -0.185 io/kmem/timeout_reg[10] - ------------------------------------------------------------------- - required time 0.185 - arrival time -0.068 - ------------------------------------------------------------------- - slack 0.117 - -Slack (MET) : 0.129ns (arrival time - required time) - Source: rbouncer/count_reg[11]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: rbouncer/count_reg[13]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.500ns (logic 0.391ns (78.221%) route 0.109ns (21.779%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.838ns - Source Clock Delay (SCD): -0.595ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.569 -0.595 rbouncer/clk12 - SLICE_X69Y99 r rbouncer/count_reg[11]/C - ------------------------------------------------------------------- ------------------- - SLICE_X69Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.454 r rbouncer/count_reg[11]/Q - net (fo=1, routed) 0.108 -0.346 rbouncer/n_0_count_reg[11] - SLICE_X69Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.160 -0.186 r rbouncer/count_reg[8]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.185 rbouncer/n_0_count_reg[8]_i_1 - SLICE_X69Y100 CARRY4 (Prop_carry4_CI_O[1]) - 0.090 -0.095 r rbouncer/count_reg[12]_i_1/O[1] - net (fo=1, routed) 0.000 -0.095 rbouncer/n_6_count_reg[12]_i_1 - SLICE_X69Y100 FDRE r rbouncer/count_reg[13]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.835 -0.838 rbouncer/clk12 - SLICE_X69Y100 r rbouncer/count_reg[13]/C - clock pessimism 0.509 -0.329 - SLICE_X69Y100 FDRE (Hold_fdre_C_D) 0.105 -0.224 rbouncer/count_reg[13] - ------------------------------------------------------------------- - required time 0.224 - arrival time -0.095 - ------------------------------------------------------------------- - slack 0.129 - -Slack (MET) : 0.129ns (arrival time - required time) - Source: rbouncer/count_reg[11]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: rbouncer/count_reg[15]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.500ns (logic 0.391ns (78.221%) route 0.109ns (21.779%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.838ns - Source Clock Delay (SCD): -0.595ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.569 -0.595 rbouncer/clk12 - SLICE_X69Y99 r rbouncer/count_reg[11]/C - ------------------------------------------------------------------- ------------------- - SLICE_X69Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.454 r rbouncer/count_reg[11]/Q - net (fo=1, routed) 0.108 -0.346 rbouncer/n_0_count_reg[11] - SLICE_X69Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.160 -0.186 r rbouncer/count_reg[8]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.185 rbouncer/n_0_count_reg[8]_i_1 - SLICE_X69Y100 CARRY4 (Prop_carry4_CI_O[3]) - 0.090 -0.095 r rbouncer/count_reg[12]_i_1/O[3] - net (fo=1, routed) 0.000 -0.095 rbouncer/n_4_count_reg[12]_i_1 - SLICE_X69Y100 FDRE r rbouncer/count_reg[15]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.835 -0.838 rbouncer/clk12 - SLICE_X69Y100 r rbouncer/count_reg[15]/C - clock pessimism 0.509 -0.329 - SLICE_X69Y100 FDRE (Hold_fdre_C_D) 0.105 -0.224 rbouncer/count_reg[15] - ------------------------------------------------------------------- - required time 0.224 - arrival time -0.095 - ------------------------------------------------------------------- - slack 0.129 - -Slack (MET) : 0.133ns (arrival time - required time) - Source: rbouncer/count_reg[11]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: rbouncer/count_reg[16]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.504ns (logic 0.395ns (78.394%) route 0.109ns (21.606%)) - Logic Levels: 3 (CARRY4=3) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.838ns - Source Clock Delay (SCD): -0.595ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.569 -0.595 rbouncer/clk12 - SLICE_X69Y99 r rbouncer/count_reg[11]/C - ------------------------------------------------------------------- ------------------- - SLICE_X69Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.454 r rbouncer/count_reg[11]/Q - net (fo=1, routed) 0.108 -0.346 rbouncer/n_0_count_reg[11] - SLICE_X69Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.160 -0.186 r rbouncer/count_reg[8]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.185 rbouncer/n_0_count_reg[8]_i_1 - SLICE_X69Y100 CARRY4 (Prop_carry4_CI_CO[3]) - 0.039 -0.146 r rbouncer/count_reg[12]_i_1/CO[3] - net (fo=1, routed) 0.000 -0.146 rbouncer/n_0_count_reg[12]_i_1 - SLICE_X69Y101 CARRY4 (Prop_carry4_CI_O[0]) - 0.055 -0.091 r rbouncer/count_reg[16]_i_1/O[0] - net (fo=1, routed) 0.000 -0.091 rbouncer/n_7_count_reg[16]_i_1 - SLICE_X69Y101 FDRE r rbouncer/count_reg[16]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.835 -0.838 rbouncer/clk12 - SLICE_X69Y101 r rbouncer/count_reg[16]/C - clock pessimism 0.509 -0.329 - SLICE_X69Y101 FDRE (Hold_fdre_C_D) 0.105 -0.224 rbouncer/count_reg[16] - ------------------------------------------------------------------- - required time 0.224 - arrival time -0.091 - ------------------------------------------------------------------- - slack 0.133 - -Slack (MET) : 0.142ns (arrival time - required time) - Source: io/kmem/timeout_reg[6]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: io/kmem/timeout_reg[11]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.513ns (logic 0.391ns (76.196%) route 0.122ns (23.804%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.799ns - Source Clock Delay (SCD): -0.556ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.608 -0.556 io/kmem/clk12 - SLICE_X86Y99 r io/kmem/timeout_reg[6]/C - ------------------------------------------------------------------- ------------------- - SLICE_X86Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.415 r io/kmem/timeout_reg[6]/Q - net (fo=1, routed) 0.121 -0.294 io/kmem/n_0_timeout_reg[6] - SLICE_X86Y99 CARRY4 (Prop_carry4_S[2]_CO[3]) - 0.160 -0.134 r io/kmem/timeout_reg[4]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.133 io/kmem/n_0_timeout_reg[4]_i_1 - SLICE_X86Y100 CARRY4 (Prop_carry4_CI_O[3]) - 0.090 -0.043 r io/kmem/timeout_reg[8]_i_1/O[3] - net (fo=1, routed) 0.000 -0.043 io/kmem/n_4_timeout_reg[8]_i_1 - SLICE_X86Y100 FDRE r io/kmem/timeout_reg[11]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.873 -0.799 io/kmem/clk12 - SLICE_X86Y100 r io/kmem/timeout_reg[11]/C - clock pessimism 0.509 -0.290 - SLICE_X86Y100 FDRE (Hold_fdre_C_D) 0.105 -0.185 io/kmem/timeout_reg[11] - ------------------------------------------------------------------- - required time 0.185 - arrival time -0.043 - ------------------------------------------------------------------- - slack 0.142 - -Slack (MET) : 0.142ns (arrival time - required time) - Source: io/kmem/timeout_reg[6]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: io/kmem/timeout_reg[9]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.513ns (logic 0.391ns (76.196%) route 0.122ns (23.804%)) - Logic Levels: 2 (CARRY4=2) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.799ns - Source Clock Delay (SCD): -0.556ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.608 -0.556 io/kmem/clk12 - SLICE_X86Y99 r io/kmem/timeout_reg[6]/C - ------------------------------------------------------------------- ------------------- - SLICE_X86Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.415 r io/kmem/timeout_reg[6]/Q - net (fo=1, routed) 0.121 -0.294 io/kmem/n_0_timeout_reg[6] - SLICE_X86Y99 CARRY4 (Prop_carry4_S[2]_CO[3]) - 0.160 -0.134 r io/kmem/timeout_reg[4]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.133 io/kmem/n_0_timeout_reg[4]_i_1 - SLICE_X86Y100 CARRY4 (Prop_carry4_CI_O[1]) - 0.090 -0.043 r io/kmem/timeout_reg[8]_i_1/O[1] - net (fo=1, routed) 0.000 -0.043 io/kmem/n_6_timeout_reg[8]_i_1 - SLICE_X86Y100 FDRE r io/kmem/timeout_reg[9]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.873 -0.799 io/kmem/clk12 - SLICE_X86Y100 r io/kmem/timeout_reg[9]/C - clock pessimism 0.509 -0.290 - SLICE_X86Y100 FDRE (Hold_fdre_C_D) 0.105 -0.185 io/kmem/timeout_reg[9] - ------------------------------------------------------------------- - required time 0.185 - arrival time -0.043 - ------------------------------------------------------------------- - slack 0.142 - -Slack (MET) : 0.143ns (arrival time - required time) - Source: rbouncer/count_reg[11]/C - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Destination: rbouncer/count_reg[18]/D - (rising edge-triggered cell FDRE clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout3 rise@0.000ns) - Data Path Delay: 0.514ns (logic 0.405ns (78.814%) route 0.109ns (21.186%)) - Logic Levels: 3 (CARRY4=3) - Clock Path Skew: 0.266ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.838ns - Source Clock Delay (SCD): -0.595ns - Clock Pessimism Removal (CPR): -0.509ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -2.293 -1.603 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.413 -1.190 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.026 -1.164 r clkdv/buf12/O - net (fo=570, routed) 0.569 -0.595 rbouncer/clk12 - SLICE_X69Y99 r rbouncer/count_reg[11]/C - ------------------------------------------------------------------- ------------------- - SLICE_X69Y99 FDRE (Prop_fdre_C_Q) 0.141 -0.454 r rbouncer/count_reg[11]/Q - net (fo=1, routed) 0.108 -0.346 rbouncer/n_0_count_reg[11] - SLICE_X69Y99 CARRY4 (Prop_carry4_S[3]_CO[3]) - 0.160 -0.186 r rbouncer/count_reg[8]_i_1/CO[3] - net (fo=1, routed) 0.001 -0.185 rbouncer/n_0_count_reg[8]_i_1 - SLICE_X69Y100 CARRY4 (Prop_carry4_CI_CO[3]) - 0.039 -0.146 r rbouncer/count_reg[12]_i_1/CO[3] - net (fo=1, routed) 0.000 -0.146 rbouncer/n_0_count_reg[12]_i_1 - SLICE_X69Y101 CARRY4 (Prop_carry4_CI_O[2]) - 0.065 -0.081 r rbouncer/count_reg[16]_i_1/O[2] - net (fo=1, routed) 0.000 -0.081 rbouncer/n_5_count_reg[16]_i_1 - SLICE_X69Y101 FDRE r rbouncer/count_reg[18]/D - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 BUFGCTRL (Prop_bufgctrl_I0_O) - 0.029 -1.673 r clkdv/buf12/O - net (fo=570, routed) 0.835 -0.838 rbouncer/clk12 - SLICE_X69Y101 r rbouncer/count_reg[18]/C - clock pessimism 0.509 -0.329 - SLICE_X69Y101 FDRE (Hold_fdre_C_D) 0.105 -0.224 rbouncer/count_reg[18] - ------------------------------------------------------------------- - required time 0.224 - arrival time -0.081 - ------------------------------------------------------------------- - slack 0.143 - - - - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: clkout3 -Waveform: { 0 40 } -Period: 80.000 -Sources: { clkdv/mmcm/CLKOUT3 } - -Check Type Corner Lib Pin Reference Pin Required Actual Slack Location Pin -Min Period n/a BUFGCTRL/I0 n/a 2.155 80.000 77.845 BUFGCTRL_X0Y16 clkdv/buf12/I0 -Min Period n/a MMCME2_ADV/CLKOUT3 n/a 1.249 80.000 78.751 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT3 -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y85 io/disp/counter_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y87 io/disp/counter_reg[10]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y87 io/disp/counter_reg[11]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y88 io/disp/counter_reg[12]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y88 io/disp/counter_reg[13]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y88 io/disp/counter_reg[14]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y88 io/disp/counter_reg[15]/C -Min Period n/a FDRE/C n/a 1.000 80.000 79.000 SLICE_X86Y89 io/disp/counter_reg[16]/C -Max Period n/a MMCME2_ADV/CLKOUT3 n/a 213.360 80.000 133.360 MMCME2_ADV_X1Y2 clkdv/mmcm/CLKOUT3 -Low Pulse Width Slow RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X78Y79 io/smem/mem_reg_0_31_0_0__5/SP/CLK -Low Pulse Width Slow RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X78Y79 io/smem/mem_reg_0_31_0_0__6/SP/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X78Y88 io/smem/mem_reg_128_255_6_6/DP.HIGH/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X78Y88 io/smem/mem_reg_128_255_6_6/DP.LOW/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X78Y88 io/smem/mem_reg_128_255_6_6/SP.HIGH/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X78Y88 io/smem/mem_reg_128_255_6_6/SP.LOW/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X76Y82 io/smem/mem_reg_256_383_3_3/DP.HIGH/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X76Y82 io/smem/mem_reg_256_383_3_3/DP.LOW/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X76Y82 io/smem/mem_reg_256_383_3_3/SP.HIGH/CLK -Low Pulse Width Slow RAMD64E/CLK n/a 1.250 40.000 38.750 SLICE_X76Y82 io/smem/mem_reg_256_383_3_3/SP.LOW/CLK -High Pulse Width Fast RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X78Y81 io/dmem/mem_reg_0_31_10_10/SP/CLK -High Pulse Width Fast RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X78Y81 io/dmem/mem_reg_0_31_11_11/SP/CLK -High Pulse Width Fast RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X78Y81 io/dmem/mem_reg_0_31_12_12/SP/CLK -High Pulse Width Fast RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X78Y81 io/dmem/mem_reg_0_31_13_13/SP/CLK -High Pulse Width Slow RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X70Y83 io/dmem/mem_reg_0_31_14_14/SP/CLK -High Pulse Width Slow RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X70Y83 io/dmem/mem_reg_0_31_15_15/SP/CLK -High Pulse Width Slow RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X70Y83 io/dmem/mem_reg_0_31_16_16/SP/CLK -High Pulse Width Slow RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X70Y83 io/dmem/mem_reg_0_31_17_17/SP/CLK -High Pulse Width Fast RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X70Y84 io/dmem/mem_reg_0_31_18_18/SP/CLK -High Pulse Width Fast RAMS32/CLK n/a 1.250 40.000 38.750 SLICE_X70Y84 io/dmem/mem_reg_0_31_19_19/SP/CLK - - - ---------------------------------------------------------------------------------------------------- -From Clock: clkout0 - To Clock: clkout3 - -Setup : 0 Failing Endpoints, Worst Slack 7.215ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.392ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 7.215ns (required time - arrival time) - Source: clkdv/start_cnt_reg[2]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: clkdv/buf12/CE0 - (rising edge-triggered cell BUFGCTRL clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Setup (Max at Slow Process Corner) - Requirement: 10.000ns (clkout3 rise@80.000ns - clkout0 rise@70.000ns) - Data Path Delay: 1.813ns (logic 0.773ns (42.648%) route 1.040ns (57.352%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: -0.592ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -3.112ns = ( 76.888 - 80.000 ) - Source Clock Delay (SCD): -2.117ns = ( 67.882 - 70.000 ) - Clock Pessimism Removal (CPR): 0.402ns - Clock Uncertainty: 0.222ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.120ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 70.000 70.000 r - E3 0.000 70.000 r clk - net (fo=0) 0.000 70.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.482 71.482 r clk_IBUF_inst/O - net (fo=1, routed) 1.233 72.715 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -6.826 65.889 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 1.994 67.883 clkdv/clkout0 - SLICE_X54Y101 r clkdv/start_cnt_reg[2]/C - ------------------------------------------------------------------- ------------------- - SLICE_X54Y101 FDRE (Prop_fdre_C_Q) 0.478 68.361 r clkdv/start_cnt_reg[2]/Q - net (fo=4, routed) 0.468 68.828 clkdv/p_0_in - SLICE_X54Y101 LUT2 (Prop_lut2_I0_O) 0.295 69.123 f clkdv/buf100_i_1/O - net (fo=4, routed) 0.572 69.695 clkdv/not_clock_enable - BUFGCTRL_X0Y16 BUFGCTRL r clkdv/buf12/CE0 (IS_INVERTED) - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 80.000 80.000 r - E3 0.000 80.000 r clk - net (fo=0) 0.000 80.000 clk - E3 IBUF (Prop_ibuf_I_O) 1.411 81.411 r clk_IBUF_inst/O - net (fo=1, routed) 1.162 82.573 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -7.087 75.486 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 1.402 76.888 clkdv/clkout3 - BUFGCTRL_X0Y16 r clkdv/buf12/I0 - clock pessimism 0.402 77.291 - clock uncertainty -0.222 77.069 - BUFGCTRL_X0Y16 BUFGCTRL (Setup_bufgctrl_I0_CE0) - -0.159 76.910 clkdv/buf12 - ------------------------------------------------------------------- - required time 76.910 - arrival time -69.695 - ------------------------------------------------------------------- - slack 7.215 - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 0.392ns (arrival time - required time) - Source: clkdv/start_cnt_reg[2]/C - (rising edge-triggered cell FDRE clocked by clkout0 {rise@0.000ns fall@5.000ns period=10.000ns}) - Destination: clkdv/buf12/CE0 - (rising edge-triggered cell BUFGCTRL clocked by clkout3 {rise@0.000ns fall@40.000ns period=80.000ns}) - Path Group: clkout3 - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (clkout3 rise@0.000ns - clkout0 rise@0.000ns) - Data Path Delay: 0.635ns (logic 0.246ns (38.770%) route 0.389ns (61.230%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: -0.138ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -1.702ns - Source Clock Delay (SCD): -1.017ns - Clock Pessimism Removal (CPR): -0.547ns - Clock Uncertainty: 0.222ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Discrete Jitter (DJ): 0.192ns - Phase Error (PE): 0.120ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock clkout0 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.250 0.250 r clk_IBUF_inst/O - net (fo=1, routed) 0.440 0.690 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) - -2.293 -1.603 r clkdv/mmcm/CLKOUT0 - net (fo=4, routed) 0.586 -1.017 clkdv/clkout0 - SLICE_X54Y101 r clkdv/start_cnt_reg[2]/C - ------------------------------------------------------------------- ------------------- - SLICE_X54Y101 FDRE (Prop_fdre_C_Q) 0.148 -0.869 r clkdv/start_cnt_reg[2]/Q - net (fo=4, routed) 0.157 -0.712 clkdv/p_0_in - SLICE_X54Y101 LUT2 (Prop_lut2_I0_O) 0.098 -0.614 f clkdv/buf100_i_1/O - net (fo=4, routed) 0.232 -0.382 clkdv/not_clock_enable - BUFGCTRL_X0Y16 BUFGCTRL r clkdv/buf12/CE0 (IS_INVERTED) - ------------------------------------------------------------------- ------------------- - - (clock clkout3 rise edge) 0.000 0.000 r - E3 0.000 0.000 r clk - net (fo=0) 0.000 0.000 clk - E3 IBUF (Prop_ibuf_I_O) 0.438 0.438 r clk_IBUF_inst/O - net (fo=1, routed) 0.480 0.918 clkdv/clk_IBUF - MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) - -3.067 -2.149 r clkdv/mmcm/CLKOUT3 - net (fo=1, routed) 0.448 -1.702 clkdv/clkout3 - BUFGCTRL_X0Y16 r clkdv/buf12/I0 - clock pessimism 0.547 -1.155 - clock uncertainty 0.222 -0.933 - BUFGCTRL_X0Y16 BUFGCTRL (Hold_bufgctrl_I0_CE0) - 0.159 -0.774 clkdv/buf12 - ------------------------------------------------------------------- - required time 0.774 - arrival time -0.382 - ------------------------------------------------------------------- - slack 0.392 - - - - - diff --git a/Project.runs/impl_1/top_timing_summary_routed.rpx b/Project.runs/impl_1/top_timing_summary_routed.rpx deleted file mode 100644 index 597ce6f3a5d84dec5d43fff0f234a1c0b41cbb63..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 201549 zcmeHw3t$x0x&O@W269IcSbRo-At)wb*k=-gCgcUO2qY#vRK#VIog^!p-MG8qVe2in z($ZG0)Rr2r#YXPci&}fRRVrFVTBYS`X;JI5SFhrqmU6FNtL0YPa`}H}W@q-y&e@sS zM;6dy%VaazIgf9?bI$iWzwi6bWemfVvSp*(PM3G4r+mzkP=6@W+d0_ZABe3lAK%{W z?@-<9hwpRf+wPg(JFS({-}pcz5MCb-#rd9Cw4e9&4}^t&A(9Y+d_+iuL-EASeP_O~ z^Cyj4$H3#vJ9cL0g!d+Gyx_kE_SV000lGCjnfY+?dz1EE!Z3}ETc?TNn!)V6>|NUq zyH%AfoLq@ zPl(;|$EE)8RciyWh(8+fuL(uNfkY@8;j5r5|3Dzo7w270zN#k_7WhPTAni$iEIJ6? znHd{M@Kv#ab-G_!w}WMNvdnIl*~>EfS>_pasiuR7S)}<{=d~J()*G!j}M`}~$tgCXlDtUg1 z&_5u=0*S$xP~XBW;YKeLVsVhM-M1{XCJ>DBYeX&c@)b4Ss;*E19_d`pHxGuwL6Ci| z%Tw*);f*XA6!^u_HN0yU{C~FFJKO2y7j-TX>*9FM)*46%_Vbs6ay1Rac(*N0J@M`}+C^DY;_}K9iC#E)vO3yIY zHcmmRj{d7)oXqTT(r37e-<#0LUCx!lvprp)etHkHP7C4T+1zM&7#?-%J!{c_)|GtL zBRATIHQK6uc4{N1)ay<@+af<(hdpc4KI_4r^(3F|l%K7{o-NTn+tTR%WHfhHcc0L` zTGIZGfpvDKoa0I(QFsB-?$zbv;P25$JQ0W_l6NL>;|B)AalyYf6ioCbZ*FEeelQ|_ zGZqL%grGkJlUWQVIlmAI4n*O%c-icw_&50WPz0vGXpD#XB-B6H&j*EYU_BoMWlltS zxmI3mM+NJi!JS>o+Q-4`39fN;4fepmbF|ELaTDO~*wkG&x>>%II}5rHiVO@U{9>_X z7qr9d7U$Q75`BCm%8P%JYOJuhr*UVLGWO8}aW~RC?o9Zl6#WtmBm&&u!EYroAuc4! z|7zvNLb;$Hse;SY1xtTZO8$4NRCHH(P*~wyiT&cje{ti#cvf+k^c%DZ`wd!y{RZt2W!V>B zAL#}qW9*}1LJ$?8e1^xB&+xGF86F)A-#;TR^rB@lz5>3ez5(A>-_Se)T~>bs`m4SH zomJm}o{BAAgIbKnLjPbm5rVZksrJ`%<9dY%n!!QiMMaI5U3R5>M^fFmG%zCY7GVNL znvDh)ACC^kx&_d^^SN_DgYA+A_xE@AJ6aZA)wXnrt9&$95{^a(;^pUX69!<_3BhQ> zRJ#0J?rixsu94{LgArlf0L!@i@(*RoA2g$%t5p7GGzVbitH&pIzEVco0K*-&oP12^1xrteIm9P$$2sgvFafCFiM@`iHdmhdxtZgt?S4+@h1#3AdgFroLftTxf}O)-8o`Uw7*}sU z$Y!AynhHfxB>DmgQBsj;LRt@`wMDyV%!CS8ao1yQc1zMUUj%vGnaNiyn%nAIx~Ov8 z_4ZvX_A1osYj5Tnu-ZMz^#xhfsaJ}vIedIT=nnOSz(D3J=KHRkUpcP9zKrX@%5WN% z(KVRh2jfDF?+e8F0N)dURhdVIc}iG`nd3U_cUv-#E_(wv8>`e^$E(|nFK2{C&$hR7 z3$f>&dXJlF@3@8b)m(2jC5kJ0fR}Jfa&ip{J;(?_ws9!IgNZQ^iw*>O0l&bEkmv(w z(%T1r8P{uHioH_x^kSdQ)u`H$akR?MCFlE@<7(_toyp1G!7auLcJs>YB`+B1TANxs z0;<(R(VfNix#?4$X0qvy_IHIMB7_TsrD+i~45T4|v4Ri|nrv2KlO18Ev^R2BU`;2e zX!0T4zQWXI+!glg4Q5;Wmek8g@~$Hi}4 z$eq&~ii^FN7Y_CICCd{3Sl-NCm;~!B(MV6IcM$9^v@wxH@+xUE&1b{n2VasW2uYkB z_dj_C_n)jNdqzQ&l`@!g$sg+E4^8q1pZq~U+cSOaP4e%zpbz49!+VopzhN7T_G*S% z_CZ$u#xC)bxXJO}B-rJ61%7XAgpH1Om9HE@TORM)K2>hqYLTDbWMQ^g-J{7ei_muvX@XoB{j##!>qz$ap%i3XK?eG7<20f9t8p`Ak zx%DHnA}$v7@T23c4Vm!k72+WTv9{qSy_1huK zfeT>rx&;1B35MbWfbxT}&>FCNVbO-`y7i8Aepp=r)HzzpjK5aB($PAdnawma3z+Ll zD;6$YQd94$ym1CVC|DW5tcDgeI%hhaPOzt|U|QGS9^CT&V-4bn-N^o6{jVA}ivw<} zc-HE3)Ljpb|2ep5eyoq{LxIGZYQhyOM9e$GuA;+)vL?Kw1Zjc?+L~Pe~bTW zo?T!=^124Lo^NJj8(4NTySQsy!xq@XW?6CbIl04azk$27)M}raVK8*{3;q5;Fc^aY z!7~f&zzFaaJ<YF0CBUI3yH(m455RNe9g)PELJG#_jp99~$phDWi!Dkk6_=qn? ze)k#Ls(RSRF5f(V_cfd{Pe1Dvc3&Xf{lsHj@m8uj~{m(GK&qj+w=i@>ZE|vCFVeeHr%HoMn?lwMAtKZWwcG{IK~3C88g&2j>Je<`yk#Sv1$} zZ)#nJ_x9Km_PvCc1^YhG+c8RS#RUoLtXHeM_w~L^>TK%urS`jhPQ$J)197vmxRcxD zZDn?`oA7IIcOn+{`<&t{EQL3CWy_L|g?PvQbo@705IS}(Hw8L2F~cZ@{=$AdG;V^d zpY9rMPrJT!!5l)-SDF~5$TT4IJ#B>kxdhsH`i#(=hgy(%homyO47G=UeT-Dp2#lDO zSG5*s#QbK)VN#p(R{hYo-XAH|Z~SL}uBs0UlnK>8YALnZ-7rLe3q$|>+}05bf8$qwCavvLykrDu){C}<(+JQ=U-M`HjW=sRbNNFL%7Dfxyh8*u-yOON0L>qcFS%kD zd-Uj83ZQ}eIRhF;{2Bl>KI;Y|pqcgcCIB>ZUKmdS4dBwOKvRJL&ArBeMp4xw02;5# zke{VB5sAL5?7*5guBVtLlTzYeMynQ4KOZ2?m2cs*%@7BUJNZ`EN-J zyeTxHgKCh0F1Ohfs&Osv+e3K3R67W$1{v+z;!L9&w>eZ(2%6@Wcel%^rgg%RqM@2M z>#x&6H4;tZUO1Yfno}LsfT|V&)zqj^O|2HyAYG9MTo$NCrfKp=HOZ1NR0A|k3e}jQ zY0RJ+shW{PHI%DS@0?LoL%ABlyiy3RCJ$7D>;sqs(url&CdT$r6xC2vlLU3S(3Gbp zswos#lLx9X=4v+F_|!od0$e|iEr9kPFtD#&C^*{rs*%94T_A}L{j zm#_n>=4=E`cU=HF>zD znTt4}!8SD5<}(*;0}GT1NMlUkAe)n5FqlapP-jTsj8L!*vdrZ+n}Rcc{lLG6@IbC` z23hOc;tpAED?_Xd{L|0$EC$O012OQ4hQb%oFDDyAFl;elPTfz`L<=i;Y_hyLQ&00LNz}zMm3TunvA*G_CJ>@ z;{pR8XnVv=!e=MqaFiUXE~hq?08$ot;9(WCaaAyyDl?5HjCMhz$!8pU)5qx!&$I&7 zSSJ;NqoQ&$II9WVOpwj=3{6j`a-jLSL7oo%4qm zC3GmEb4n6AGp7(!LNCs0oiYJ{{%FYQWXKHN1&$$>%#I=I71M~;86g~mY^63A^Toi+#$<{h}OyIR|ER!?~V?_nY!rM8xahd{4V6dPYcv>XC0`s){}B) z``#ajP^k4CboPzp&Nk#uoqYrMbB012Us(rG=+O-BY}W-Z2Pm}FLeIWIM;M)qK%EB> z6cRE!gK3mD50+;IcQM%fJjv!)s)2EVTl$2PGmy5(gAc<(i@-OGCHsb@3U?*rbr71+ z&qB~+LL|USi4JlY4sy$;bI69uV%EYBu41{?r z&6n*{3VkT_0mVt3AC=WXP#JO&i!%&v z%xrfVaz7)KKKhGbw95@Qg?Vo3zHSfUfm|^UGUTR=wpsf}Wulb4*Jz?i2;@6gYak9nM0%!67?I0VuZ=1CTZVICKbd0aT= z$-w;>V;(gK90AOOW*3TiDA_~F9$m60Z?lhL9@%EXwyJVY3PLj#C?+T-&XLMgkhk*Z zi+Rj(ogVh5wiVS&e{YNd&Tm)Oqm%u-Le>X8%fH0mZTU^ZCUO;&b`l^wFO!&YX8mDy=!cU#%LR%XAIIcQ~Hw=(ZonIl%_ zLo4%%mEB-tHrbdhHfG4i4BMC;Hg>0t*==L?+L-5UfeH{k!4m4%u7Y61CkbWhNEi_*+wT{_fh53N6M#fluWFsSkk%R z1~)&0Z-pO~H@F<`O5VYv8|`!NEE!+X+R_R-(+SrZd`o*r)}s z>(y z9Py5A>YJFu^0tc~xaT?2SS>{Ix~Wh62dSr5a>nM8xiciQj(y^rWJ?cQupHdzQpRot z$*UDhva1LW)W8E)`2j8>BzSaytg{mBifv$-jL+o^2`By2V4}t`TE0*0TwPlAjIRL{!qMM zQ9@*>6Ok2?L7P9|@Jg5+XNLCB#QGZo#$9x&Ao zLIwzgjI{L^8bZRHNFgNiiG@N)811o8G*~Zh6x>otA!M|kfSPWl5He+zPzWgmQ_{!- zLZXQnRxEm|Q@*DWkro<4LP{YDAt{7J z+%kobfnYGU!Z@`$g^(%GL?L8>AS9ZIDTFM5Ybu416hdOY0yWySN|c3E1rORO3z^%9 z`FydE=8}(|f)KKrLP!|zIE4Il7LKgCC;VV(VJM8WP#AeKVdPHg%&K;eFy+5ljr(s> zXVz2NnKg?u$|#h?-1&00Zz+__%`m2HWWm_Ttj{Q;4z1LowU3drH5K2XwXkQD-Cta% z)>YX-B;3zADDtaUJq1CL`|8FLgCfs(ts8dTQ0 zinNMBkv}Rm859Y+te+KAiUWM$9vjKgWH~n(oV+f~;6H!0l>bo)d8;KPLwRx9c2dFm z(U9w9m`*IcrgoYMK7^=|Ftc775 z8k&^3Dm0XIipHXaIfRBv#~Y$`2xLwDdZ}M;Ah^aa1)HKDZA+IpA(n|-w5Vm#T(`fe zbs6qjNkc=0m?IJDhbzQ_fd{jRG^u%zvgVj68X5}rM)F)ZcqO8@u)#V)k#tdz^j%Ya zMKa{{LqnAXs)b;>sQCTuql`&e1XtvEMQrDEBtk>wh8z0zzIXp`_Ym4-DkM`UG!$V$ zx!4pbYIlGb&kV$3p*4XpkLE5V0JiFYvyh>o2p?+eKWZr~f>wowQUp!Y3Ps{YhzX?# zIvWaBhPG*-ks@d@b}2lV$Qm@7-cFzh8rxeLNd!$JW7RVP<)R^76GhNNtja{U7b3$9 zMbK)Q<~-DBWGo2_p30H2c_8RQMaC8#L7Rw-r3gB-2H%O451Kc{L3=3&O%wALJ*yH$ z&}w_x6zy6=5j5~Dl!L}dCz%{h(~Rs0`BQ>}M(YseplM)mmS@&b4jQ-oCZ#Fh$ULAZXPIH@R}qS3DU!8D89usIeFK`sZd)FK+6^O})5H z)2=lJ4rkPh8_P#by}0qzTB977lUND;1AZi5Gqes-1Wge%MbOfzuczh#Kh%pG4 zDS-%$gVsM*)RcF^sS*dB2ZBcH5Jk`wK~n@x5j4x}g{*M&h+M`_kH}5Uh=mAX$b*B1 z1?u$Vpj{L}rzNK@Y#cP~D(0U_j3Q`?pecfe)Cjmsof5rFGl^lo*M&-+MKg(^)Iq11 z7k3^A8m&VVL8t7+oP#rIPHvi$d&G`+q8v2kpq0E&r{VEVc_3(Ws(%V*5;JkU)8~qV zwm-*mjD56pZoI2Z{xF3}{#VI-eC+s%6H^;q(g#mto&2XJ`A4>8vhOP2IKna?vh1hI@3&gyXE#|aTP)Tg zi*?v?LjI54VX>*Y;g-AMo+3Y&?1o3~MvJB!p2lQ1S{ifg#whl}=4`rQ8?xBc-LTmA zyf!NJIy`beJn8*tk^9l9=|_v)kIqKH^1Z8@`&hy|`OYMGDcjip8rWM8XMGW0&37h= z@8-mbGD4@GvSoZZB}0}Hb+1Z(WH}=iiVJ)dkA6I<`t6YASQ%u{Mn{aDAM1(^M!Eqt zcEitvcv_eXZPk@!{3~SKZJo}{W}2A=%ynEvOH)V3wcdJn<&85Wh4zRwHacfIo#?Qs zDxP$E@HNW^4WhnoWS{$~(6Cw5yRG7Nn|QsSb>6oOQoo;d@ur4d?B4G4pLtrmzYpCP zQ@=By#z@!R60e8F>-*yMn0P%dUN?$*yji?%wIJzlv#f2i5L3TzpZnv6eU_L1^mhFL z@%yic*SEy$Vextd9U;bUNQT`rLsn)unYfPGZDsaanf+Ggpp|{y%DiJ`j#!xwt;{D@ zc7u)CWMj72m?0Z8Y-4uVn4LCO-mvMafHxG1^zuTmSEx$FLcP60ObGJbLO9INYwef| z0*rRAhC5yB6Ry;@tkk@ESU^VDH;=4%}s!JKNco@F?X>)6p1Gs#&0|4orat5{SryJeVje(M9PN) z?~;nD<<5Z~jfWlu*Est7yZaqdhH*m;&cr-=$|i|Qi^?K#^`6W*%a1)_-%C)m`P_Ku z?HH+v@>;8Z`oMj?FOxd!1-Y?u0_v{89+%tULuq>T$Ldn3pOx#Eo@y(zi=Bkudb<;` zu;1qtk69>fUOMl}mL(kv@fqq*$A5DLp<7o;FHxDHpl2>OH0JDxkl-tNqV?6aPVK?b z`|cP|@=9*VJQ&UKlK)u(GCq9%T&3p6l(GvhF+ z&H1W$=v(g>PQ_oiZ)uh)4(m+|VHm4&@ogXVuBFswMfF>wO(6;Q%Ca%@qv4>xCm0j_E&f)R-IQeykm_c(fqRX#Fi(}+D}G5? z*VJC0Iw`~vrjVOzDuwvsub1p0JYcFF70}p{csS6#S`ih(8QS9V16~ltUjX3s4^uuq z8Nlm>|N4y%@VYMe6AHX?MRyc`tU#CxecED3ftNo7*1I1tHCnQLV7TKpI|W{3iWi;Or+4fd1zsuJkOD7!L{Z?S z*z4Fbahd}!b9uK$0(e2}a(D0k7LJ#*3tWpq%+LUUI>R=s{trD>1S+)1N9WYs*8lr{A(0mX8ru2~S^i>-ye5JTcmYY6F37u80M6^x z4?aB^(Ch#4AL>9aiSwe+E4&)8$Vq};n z|NH9Q%0!6qm0E|xnj$zHq8Zd2@S+Zf6nH82+vf{-(MT_FCavK{1LCm);|KEvC$CFR zF}zb91EXT;>@?CVH&5KpW~3LaHztr*zO)xq+ z>?SL_#Y$6b)Ti=z6v*L_rr03NV6vYcO|g+mu>q617I=B`1zz4F053FyQsA|NWu%l} zxtobJ(knOnl16&bNH22iB#rb^>}70!?lh0|GMAfkB!Cwr*QCHJmEz-&qpEuyu zCxyHbAgBzyB)`kE(~=wN1iY=?Gua&HrAl_0Pj*9{WFs|&$nuyhq@V$Bip0~hJW6!| zTGY$jpdaw26pdxOL~99!pcI1AERQU^nWb4CK?oTp5z757cKIQ%YTME!I_XbomPgo$ z(n(}$E~s-PAZX2L13?3xpA?e&Lx_vS{pA(%26>SP7&P1b`Y*1u${4hm*+$NMXuJ*v zmAo!#$QuoL6Eipyye?_B5h0lJ`O$a0Bssv#VA?q8dNRenP9Y)_S}6Wct|#L67A52j z&7$Ve7Y%u%o|m6f&&!;WG0>1VW-nOc)bTPmV!XJHmpN&8hIAu(A#diAnT|-v8$?H( z90D-wkhfLC&O)Lugf&R$E1y)4brgKj!_DGw_C+id4Hn+>lBRm3sUDH>UYvDeOg4J<@a|$rA)~IKi(7=|*&tnZjyQgqSyZ z3B|LG)KTOGCs`}&Tz;;XP%CeU6q z*+@~6jZoK1IUY>sfNjO~vVaLM75_+-@lxd@iSoo0-1QQzCFTGqO}j$Lzt1W8N7Jsr zMjUm$q^_4S$UlyI-DH2jc5zM{P!>^a0X=D_4P<@5_J-XL6q5Wyh)YZU9kqO12O(DH z!M_Rpp@Fy~IN%uQ_QxQPH;T|KtAIaTEdL?a5Rk&_q4v*1fhb%%^TVFN4GUgF5+87NAYdq^?r8s)sMrW zaNV2FdwLf;`@^Nmp>S|tJQQxY`4#r1u`kxY#Xfs*OZ{Q-srSX}F}7`TYr}Ez^F|AD zKHn@}w^}UUySjOsWnbOcIrocq9ucp*#Ou=*%d*9d`z(K3zqjdtc;^-I`j&V-EMAXT zm`^N>%oMUiR(9CR?65LBt?X_qyVuI>w=xHIh%6w>LKC!YJY|JJbv&F^? z*_dG)v%|*jv@yGF%w8MwysfJO1Qv?)@ zrV8K8KK}7>Qo!5|+@;X-saO^0kT_CRuo46;JTh# zzt1U3qePswaB+O?+-pgSu$Vg+#9_z8(dP25a647H;Pz;B;hs;olg{h8imQY-cnMY> zoWm)K*Xeh;YeCdb1P9$-GZF}L>63Y;!Z3~S$TF~jMtIaBxSJ$`E^a!AU>eqBq!Afv z!iR{vS}T@6?j;V91*UpZQ)gz7bb|)7Dh(#J70SYdlJzjr>O~+t*t9~e7qx^r;epoP-(?`_g_fTiexfbOe;VDj;2mWAQ*IX2V$}H zkh2z_A_!yT`#aa=E{jARQzZSYV9>)1|vqr;5r) zD63$uv#Lz+^c7aAM+U54wc;jmKN3mBoR@cae zVuAi(jaTrC<%?_Z=p>dlF~hEaOd3}4@aT-P>1=O9%~te;z`bP%%1(|Ly}rz=o!QxL z##R-iN!qw9llJ;!``3_`VjpJ*d7Oof8K1kxp!eH;+-q)>;L8f^lORLg38TaVS$?8e2{w&Yz5Srw@@w_As3r-wb^<-| z>uX7pXxBiuj5~@T>QT}TQ%ax3T@F<~_wA!jjDo|B=D@cvs1P^2RQrbF=9m~CDj&0( zVfJ!BI(bG;Cy$NKg6E*9hRq_@J{ESm{J!R;^ZcPmJmD~oKFv9L>WPP+CcTK{)CbK7 zu5rkXG0^T=uWln1Rm+_NJsK|+)!*OU?|{@4zQx8HRDG~r!rJZX2T@LovPse=43w3n zOYGY>y}6gTsWu+8I_a~PY2K_7c#;W)25oVr|^r)H%T3<%d|1t0wrPtf;0qg9R96JAo$hG5QP zY0^JEMiN~vW9i~2zC$W%1ct=;UmPmXkg%?Ml+@;YmAm?eCrQffH?tBghpEO;EvQlp07HGtY5M9<)0EBFx8F< zXh%sr9Oz!H)Q^ZeZE;5}f77Ks)A!G0#YnL*i1uvux5Bn!v6#;r-hW+YJ}KH+}O%;&1H9fr*3w(@5v^O@tR?3DSGT`bK&M#_9*G%#g8Df21sZ{mBg zl=-C0r{WzznNQJSLPH;#;VUTf8QZ|J1lJ<{Eoz04#5ZNLCUPkADdQFl^-<=NWrtYR z4ltY#K$*|I;F@p1e0uyIGtB3xzrQ;N<`WfONahnOuNcgyRNV+MpQ!LcF`ucj3eS9^ zy(>N5_upQaLE64D1KaArPr{h^G{f{kNf^_YHScMb>8n$@jSxuiN5A-uT!rBQ>(rPW zF(V8p2@}SM(Vm`a1I_r}1c4+BGqfithOsQn(4H#2$XXPcX-{m+uhrMA^2j65o|~_7 zk|fco@yOHLQ3PpI<}?437%B6aTf#}oe1cPj82$tU(SY>1jWVC$Kqax^a1~t|3>FK@ zd@AwNSu>y5Vq}W>tQbpVKHIn|aAK&G{RXhOKCA+n;4(#iK2lurvk!deEh-=S%sOQN*y%x{KeC$xq*pibsCdPB$h$ z*Ii4=&s@*vpyVedKjqm8+vPN~r9jC~N`6xElMoI}!#-1?(Ukn8ehKBA3c!y_kB zjAc$yY~-S_tyxNbiirwn*rzso0}cC3#-giIEMz@k49HI!_DRD&6-I%EeI_$~!Ny;D zHUS#;i7)1oG(Oj1pV*e4iLlSxo~b7BpP9oxvEos%iWmt=$xmgf$~jbxlAo0PR3+)8 zVV^mVB^vfgn$wFO_K7VO`H-KP!#=SJ#I?v2^LfgIeWtbEB<(puVV{VklQ;HF(VmYd z3QBt-;!azCu3?|*Y`4V?`#k!q*JS3iYKY8yjzq%Se;GDrJ}Yf|XxJwW`-G&>4w~>b zmYRPd)l-d88Yv}`ULDIonNM&`q6u%)B3#TRBcx%UH0+axeZm0-upY^t0W|E>PMOcN z*_0-{CBb=fYYa_zD`(w5T@v1+h(QwxZ)w=4kl-tNqVnvH?|T!(#PTYe_OK1**oleDI04*SH4m*Z?u4p_>3Qs&d` z4+PhsG>wo#7yUp9aUowLw`ftzqPcE=Q|mI6+)z$uOcUP1uqk@NTN?I>^5)a9Psp7u z<$!K0vx_OK;kVxIL@X>H3RDUyzOQUq(yh{3IM&NDurJ59<*R8=wb%@-aB5MhK=vLJ$0ucj*aZhaUKurY-cq&w9c+ z!t}sT`8=A>#(|&Lb5mh6YI6Enk+bR`sN3oG_+5UN83Ocj%N$bP+vJ{!Zs}PizKuv{ zx|=DmAenEkhqDK*_9+JS<(m37=}Vzoy6N1vJ>=6+Rc}%%tl%uD*C@s50&Xpd;W6W{ zS?e*AYu-%0S11W1{(uEd9h+?C&`-0(UoA@2tCtD*f(f_hDhxOH=oz1jYepDQ5+)2_ zL9;tA*{m?!Mw+p!;a-w3%p|{c7b^L!J53ZW# zSr$~jf=s$5_fx)h?zIi_e5wK|=Yk<*$I{8Sxx6df z&Xp>RMOxD!tpZaG?r;5TzzpUdx{h)YO`^DHiohkpV(fOZOH?)!D zVTKR(0v~LA=A{`56iULRF=BzBUL!vEh5N203BwEpT4P2QX3qbt$x9TODbSSnv;*?r z8d0FO?`$ARA~OYw6_0Wbikpg*0;LpacfUXbLD|jh1NS^vPuOx=z>SBAe2hGiLnNXL z9bZiYLHiidcREALik#gEXbN1?w0^p#z|9;8N?49gFA7xK0h1Kyo1gyuNKl}Nt&`_3 zQ;Bdd0=`uqKG_dQ(lmb14DNq^+ zs%8CZ@&im}-DhbaC>HTZDNs1;D+F8}+FhZ6ppj%STP-1&jRu0Iq8@1=C=CR4xvG)z ziKY+L@xmKkE1VakG1 z7Bsejr7UO$o1=ys4J5D?$Y0n_;^f^FXKLdh!*i4c%`G?0@{5*UGp`o@2+y_Fc<0u;DsQ|D<}cW<@$j)wTxgu-oauBrBXPcp zSKUwE9=!Q0zm{3h@Mba#Iugf${>M&Z7W9eTyC@5K3bUXz5L64vDGQ3DP#Oq|xJlfR z*n_8)^59AQzjp53B;WcjZaUbc)3ALYA7JsPgi2rfq00+}!w{BX2xK(qS)W2UnNm>5o$4PZKG<^JR%> zASfd<7+C5xN`aa=BzOET4(%lfZ@v^n3_J^*<0-Q(*d`3&DEL!_0>xH~Vw?yn<|R12 z0zokeCD+rF8wiTnI#~xz1%iJ1^8P|npoqcK)_>HpMfXHdG4Bs<)c1%9)#Nh;(cX&# z#9Q1*(78(#4mAD`WDd0X*yD2^VK4c=-#oR8o&Dj`XP#yeYTL)c{bvrq=i%m8*q6q> zSpOFL?7=PdhsD3XFJ6zaZIfFYj*Fi+TF_IQ#p_nfZOcNs=iCikaV(0|i=ZmMY^|wRSg}a-i}~J&gpV94K))qmiHk9YTCCoRC%q|I}ukK_tsOrrk{mf+f120g#~cYadO^|Rt89eC=@aKrj!s8SNv z@60)Z-@E@pQooHe8_Cre2;;Z2w&g4gmzk;5lrWG_?^*4!=zu>wm;fb69T}@S8uZ9t zA2cTng4#l2T*I?LJ=^Xg31f+rS={UnBPB#>Oel>BJuPBFzd8!s(B{B^y`Z8y9PM7M z3TNVlV6Py?Y2M6Ay+K>@GChIun+^!^WaJP}%x!41xIa&LfD)pgWkPfncPVI<%F9e^ zMLA3{qHq4-dD4QEwCznUNTw*!A6iRoc9flZtTYe?gm^sEABy)QBqL^PMw}8smr(+v z@zOJck!~TD2!tYu^~zJgUp-BDDg?H-MHPWUTC$U*ok>n~gw6*=?48^LQ=I5?|6EW` z6ft_*`j1-P);%Az0-zdxghZNnA-#!6i8_46iV3~^pAX0}p@}EReCS9V4to11%MCN* zu788_p~>)G{tIG&uN>do69|VJz4C7ZLM#*w*2~eqw^Y7qvvr1}YY*8*CmmCcDxW@5 zK7FHPVnxN0&ILEP`5AmG{HVOa<#1Q>4j$cTpL=J?_=?t+R){6;gzF5xrM;tasDvFZ zVRn>^+g-wJAGL?_p_C6zv4L8jZF)GUn6DNG(KIGhOv6d}(CRd;NNugCB84;TGhHhM z>R^^85W5yjKlo+}q>lo=NZ-!v84Lq0iGC7MDop#<{JtMWu5(4NaW z7}745KKUmlgPjGeC)y#yc6bSaq56E6QWC~@0r{6Q%DlMeKnBv&nEaEg!7Vd_Fg!oK zIagtLfc!(pvF0xfCaAxWZLb56Kc z7|G2;PyibHNn=0hA)u#z`rBJ6)oGREfnm4W?`vK<&tQ4H_=)e3=Epv8l4tF9DI&AK zzq{W73|kxI(=3}Ly0D;IS)Pmg*^B+elDnE>IxEJ$K$3ORM-SV7%Cr`lVmTi&WI6ZM zjU}}sHTI&VSE1j{s>Nruz$*2Q~dDiHHOm@3<0>Qi;qx!IAiHYO(AG#H8r zK|T@c7Zi>b@o{porWnpA{`B)gMtvfVPFsK3@2fb*o@ADsWirJy1w}ie|0#ICBv2z@_+0O z?DLN-XCQ(N$S(BbN!4$MEPp-+CYFof-}%zy5>EtT34eDaf%c77xK}z_$|k^Hfucvj z;8IqDmbp%a!YxHzkd5ps)91~R+*Y=V z-Po7|r;XihWA@sZ z=WT%scrBqwuju+ym57CUdj&LHx`l9C@6 zmyHqE#hzeH@VEG{Mo0(A5=T1io6jS8c3#Pi18{eyr0)ZW(TU(|HX%RSBX{$pg6g>Q zpq&#^1IH0698wDkLw$sTnY*rQGuh}@z@EsN&5{Y|aQQtGjpJ@$m%WG)h9Rw#Q6gzAY%wzWw8b5@{H0)~ZxzTpW%|m_YRXX+N!zzpHhz~fN9NqZ zGJMlU_K_FwZYCMOC#GK6_z3&lSI>K97dw9A=)EXU%k9nkSh&CU0DMMyTCz2M5gyrQ zIr4`C4Wv9ROW&J2XP@Pvvo_ArHGY}AjbC=BjrnXEzZo18b2fg}j)?^|epf&BCuK}v zlTP-=@9a(gLn`QG8NUtOU8LsZWBks#)~-xnn5c?w{NAo?SYWOA%o@L^VQ$JijNi9! zdefx!Vr2XZm!BYMYw?X=8n*Nq+RM+;_#HAdevvgI+h*9bW^DZKAw1QoHGa?O6cU32 zX02aRp{A@(otH;+(AIX0~ zC4~NTAzT7GY*R==ShwD>P9$0K>3`mppVXe`p?qa%8VRhEXRI5>T(;smuQX$Qe4gMf z@HA2$=Bx{&Ywg0A8}I!`*Ewr``LJuEf8g(o<_|GcE&C}l``W~}ShU*2*OqH-H%pR? zr%N)M$>OBejVP`h-f5ow&g%^qr0yL1Jt)2JNc#IWIWO9ZUachQqE{;os@$rb-p|?Q zhkE;pG(Y8BUD0}%&uGb^cloGH4%Ou||K?m>j_+x-)D3#8YVshv4jScW>7GILjr z88z>x9?8tz`pl~yl9`)V2g^_gQ}qSX&FX@8kUPxEI|y!#?o>smiJkRK)Y%$vr@>b@ zQl4gIBch2UBTyZ;20^@X@oW)6cqZx~h+J#qp0#mj#Mj5c?Pj%qAQZ8$QfX30x+akw zGo-FwqADqUtjLL>%1{ldSJ{!SI{DX7ew}37b&k2CVzCRfQbI+p@(e{wX1lr8XI-|q zKA9_f`ikUaX}aOL75*(Gm34)b3N~6?n$dD-{C#L^>tVOvXmO>D78j_`u(EB(XBsUo z&1ebU+lEF9)TT39a;=T~i?TQAvG=J)%5`J5&-fQKD?IFx_9h93Zl(3ngZik<2z+yf z8Ns8G=t~nHOxC0`|9En(Eq!b9#}CN0rM4zfNEd9NImF#EA(m;s$aD|mDp?9+((0TD ztMdhNSqm_L=j2SI*C?)5^YB zny|@9$JLcDY+IgJ-8pw0BK%KY$CK#=^3k-cI_|En@q1=@{P4fxzwxxni~7d#x{LnC z(<;xi&eH-;P4D#Sy*5xX@J9pGTop&eo??VYW{noze;UmJk1t_07wgRgp^SE%of_j=r3 zp6?tGgkXJ@i?3+!Xlq^Cvc%W6n0L)|d3m?f<*jnoRk>W1JikQfhe*FbVlXDuw{T0i z(aVHbJQR)Ceak{?0>LQ127P^|m#?VtR&|9E@JQ!!zIiYd4)QK%t;&B>i+}V#nv|f diff --git a/Project.runs/impl_1/top_utilization_placed.pb b/Project.runs/impl_1/top_utilization_placed.pb deleted file mode 100644 index 95d2d25f3582ee53d696a113db4be54b520c9dbc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 231 zcmd;LGcqtV(KDIEtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zQ{EdaOm=UD7!p>v>=kBMQSRO<()E+wp;wZD;h^(fNrr+cZcC+- zS(zNx$}=!*9b)31(F5MON~M7wH6Ev z4i0~TB!kmYO9lop$Fp_}3=Q^k?HL#jI6Sov3vG3L>jF}_*A*nv>IP!>dV|QjU~;Js Ghz$Vhz(5)R diff --git a/Project.runs/impl_1/top_utilization_placed.rpt b/Project.runs/impl_1/top_utilization_placed.rpt deleted file mode 100644 index 86e3054..0000000 --- a/Project.runs/impl_1/top_utilization_placed.rpt +++ /dev/null @@ -1,217 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:02:12 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_utilization -file top_utilization_placed.rpt -pb top_utilization_placed.pb -| Design : top -| Device : xc7a100t -| Design State : Fully Placed ---------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists - -1. Slice Logic --------------- - -+----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------------------+------+-------+-----------+-------+ -| Slice LUTs | 1347 | 0 | 63400 | 2.12 | -| LUT as Logic | 961 | 0 | 63400 | 1.51 | -| LUT as Memory | 386 | 0 | 19000 | 2.03 | -| LUT as Distributed RAM | 386 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| Slice Registers | 161 | 0 | 126800 | 0.12 | -| Register as Flip Flop | 161 | 0 | 126800 | 0.12 | -| Register as Latch | 0 | 0 | 126800 | 0.00 | -| F7 Muxes | 171 | 0 | 31700 | 0.53 | -| F8 Muxes | 0 | 0 | 15850 | 0.00 | -+----------------------------+------+-------+-----------+-------+ - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 161 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Slice Logic Distribution ---------------------------- - -+-------------------------------------------------------------+----------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------------------------------------------+----------+-------+-----------+-------+ -| Slice | 409 | 0 | 15850 | 2.58 | -| SLICEL | 249 | 0 | | | -| SLICEM | 160 | 0 | | | -| LUT as Logic | 961 | 0 | 63400 | 1.51 | -| using O5 output only | 0 | | | | -| using O6 output only | 798 | | | | -| using O5 and O6 | 163 | | | | -| LUT as Memory | 386 | 0 | 19000 | 2.03 | -| LUT as Distributed RAM | 386 | 0 | | | -| using O5 output only | 4 | | | | -| using O6 output only | 330 | | | | -| using O5 and O6 | 52 | | | | -| LUT as Shift Register | 0 | 0 | | | -| LUT Flip Flop Pairs | 1414 | 0 | 63400 | 2.23 | -| fully used LUT-FF pairs | 71 | | | | -| LUT-FF pairs with unused LUT | 71 | | | | -| LUT-FF pairs with unused Flip Flop | 1272 | | | | -| Unique Control Sets | 25 | | | | -| Minimum number of registers lost to control set restriction | 39(Lost) | | | | -+-------------------------------------------------------------+----------+-------+-----------+-------+ - - -3. Memory ---------- - -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 135 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 135 | 0.00 | -| RAMB18 | 0 | 0 | 270 | 0.00 | -+----------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -4. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 240 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -5. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 34 | 34 | 210 | 16.19 | -| IOB Master Pads | 17 | | | | -| IOB Slave Pads | 17 | | | | -| Bonded IPADs | 0 | 0 | 2 | 0.00 | -| PHY_CONTROL | 0 | 0 | 6 | 0.00 | -| PHASER_REF | 0 | 0 | 6 | 0.00 | -| OUT_FIFO | 0 | 0 | 24 | 0.00 | -| IN_FIFO | 0 | 0 | 24 | 0.00 | -| IDELAYCTRL | 0 | 0 | 6 | 0.00 | -| IBUFGDS | 0 | 0 | 202 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 24 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 24 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 300 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 4 | 0.00 | -| ILOGIC | 0 | 0 | 210 | 0.00 | -| OLOGIC | 0 | 0 | 210 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -6. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 3 | 0 | 32 | 9.37 | -| BUFIO | 0 | 0 | 24 | 0.00 | -| MMCME2_ADV | 1 | 0 | 6 | 16.66 | -| PLLE2_ADV | 0 | 0 | 6 | 0.00 | -| BUFMRCE | 0 | 0 | 12 | 0.00 | -| BUFHCE | 0 | 0 | 96 | 0.00 | -| BUFR | 0 | 0 | 24 | 0.00 | -+------------+------+-------+-----------+-------+ - - -7. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -8. Primitives -------------- - -+------------+------+---------------------+ -| Ref Name | Used | Functional Category | -+------------+------+---------------------+ -| LUT6 | 560 | LUT | -| RAMD64E | 288 | Distributed Memory | -| LUT5 | 199 | LUT | -| MUXF7 | 171 | MuxFx | -| LUT4 | 167 | LUT | -| FDRE | 161 | Flop & Latch | -| LUT3 | 124 | LUT | -| RAMD32 | 84 | Distributed Memory | -| RAMS32 | 66 | Distributed Memory | -| LUT2 | 65 | LUT | -| CARRY4 | 36 | CarryLogic | -| OBUF | 30 | IO | -| LUT1 | 9 | LUT | -| IBUF | 4 | IO | -| BUFGCTRL | 2 | Clock | -| MMCME2_ADV | 1 | Clock | -| BUFG | 1 | Clock | -+------------+------+---------------------+ - - -9. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -10. Instantiated Netlists -------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/Project.runs/impl_1/usage_statistics_webtalk.html b/Project.runs/impl_1/usage_statistics_webtalk.html deleted file mode 100644 index 0e36106..0000000 --- a/Project.runs/impl_1/usage_statistics_webtalk.html +++ /dev/null @@ -1,615 +0,0 @@ -Device Usage Statistics Report -

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click
here.


- - - - - - - - - - - - - - - - - -
software_version_and_target_device
date_generatedWed Apr 22 08:04:26 2015product_versionVivado v2014.4 (64-bit)
build_version1071353os_platformWIN64
registration_id210990371_0_0_454tool_flowVivado
betaFALSEroute_designTRUE
target_familyartix7target_devicexc7a100t
target_packagecsg324target_speed-1
random_ideb5377b9525b5af7935fce46cb93c3f8project_id5f5d7ddd32cb4c0cb289603e426c9fed
project_iteration0

- - - - - - - - -
user_environment
os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
cpu_nameIntel(R) Core(TM) i5-3320M CPU @ 2.60GHzcpu_speed2594 MHz
total_processors1system_ram3.000 GB

- - -
vivado_usage
- - - - - - - - - - - - - - - -
project_data
srcsetcount=34constraintsetcount=1designmode=RTLprproject=false
reconfigpartitioncount=0reconfigmodulecount=0hdproject=falsepartitioncount=0
synthesisstrategy=Vivado Synthesis Defaultsimplstrategy=Vivado Implementation Defaultscurrentsynthesisrun=synth_1currentimplrun=impl_1
totalsynthesisruns=1totalimplruns=1
-
- - - - -
unisim_transformation
- - - - - - - - - - - - - - - - - - - - - - -
pre_unisim_transformation
bufg=1bufgctrl=4carry4=36fdre=161
gnd=10ibuf=4lut1=104lut2=65
lut3=124lut4=167lut5=199lut6=560
mmcme2_adv=1muxf7=27obuf=30ram128x1d=72
ram32m=12ram32x1d=16ram32x1s=32vcc=8
-
- - - - - - - - - - - - - - - - - - - - - -
post_unisim_transformation
bufg=1bufgctrl=4carry4=36fdre=161
gnd=10ibuf=4lut1=104lut2=65
lut3=124lut4=167lut5=199lut6=560
mmcme2_adv=1muxf7=171obuf=30ramd32=104
ramd64e=288rams32=56vcc=8
-

- - - -
placer
- - - - - - - - - - - - - - - - - - - - - - -
usage
lut=1363ff=161bram36=0bram18=0
ctrls=25dsp=0iob=34bufg=0
global_clocks=3pll=0bufr=0nets=2120
movable_instances=1986pins=15924bogomips=0effort=2
threads=2placer_timing_driven=1timing_constraints_exist=1placer_runtime=10.416000
-

- - - - -
report_power
- - - - - - - - - - - - - -
command_line_options
-verbose=default::[not_specified]-hier=default::power-no_propagation=default::[not_specified]-format=default::text
-file=[specified]-name=default::[not_specified]-xpe=default::[not_specified]-return_string=default::[not_specified]
-vid=default::[not_specified]-append=default::[not_specified]-l=default::[not_specified]
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
usage
customer=TBDcustomer_class=TBDflow_state=routedfamily=artix7
die=xc7a100tcsg324-1package=csg324speedgrade=-1version=2014.4
platform=nt64temp_grade=commercialprocess=typicalsimulation_file=None
netlist_net_matched=NApct_clock_constrained=1.000000pct_inputs_defined=25user_junc_temp=26.0 (C)
ambient_temp=25.0 (C)user_effective_thetaja=4.6airflow=250 (LFM)heatsink=medium (Medium Profile)
user_thetasa=4.6 (C/W)board_selection=medium (10"x10")board_layers=12to15 (12 to 15 Layers)user_thetajb=5.7 (C/W)
user_board_temp=25.0 (C)junction_temp=26.0 (C)input_toggle=12.500000output_toggle=12.500000
bi-dir_toggle=12.500000output_enable=1.000000bidir_output_enable=1.000000output_load=5.000000
ff_toggle=12.500000ram_enable=50.000000ram_write=50.000000dsp_output_toggle=12.500000
set/reset_probability=0.000000enable_probability=0.990000toggle_rate=Falsesignal_rate=False
static_prob=Falseread_saif=Falseon-chip_power=0.212289dynamic=0.114863
effective_thetaja=4.6thetasa=4.6 (C/W)thetajb=5.7 (C/W)off-chip_power=0.000000
clocks=0.001493logic=0.001739signals=0.001438mmcm=0.105905
i/o=0.004288devstatic=0.097426vccint_voltage=1.000000vccint_total_current=0.020461
vccint_dynamic_current=0.005181vccint_static_current=0.015280vccaux_voltage=1.800000vccaux_total_current=0.076908
vccaux_dynamic_current=0.058744vccaux_static_current=0.018164vcco33_voltage=3.300000vcco33_total_current=0.005195
vcco33_dynamic_current=0.001195vcco33_static_current=0.004000vcco25_voltage=2.500000vcco25_total_current=0.000000
vcco25_dynamic_current=0.000000vcco25_static_current=0.000000vcco18_voltage=1.800000vcco18_total_current=0.000000
vcco18_dynamic_current=0.000000vcco18_static_current=0.000000vcco15_voltage=1.500000vcco15_total_current=0.000000
vcco15_dynamic_current=0.000000vcco15_static_current=0.000000vcco135_voltage=1.350000vcco135_total_current=0.000000
vcco135_dynamic_current=0.000000vcco135_static_current=0.000000vcco12_voltage=1.200000vcco12_total_current=0.000000
vcco12_dynamic_current=0.000000vcco12_static_current=0.000000vccaux_io_voltage=1.800000vccaux_io_total_current=0.000000
vccaux_io_dynamic_current=0.000000vccaux_io_static_current=0.000000vccbram_voltage=1.000000vccbram_total_current=0.000250
vccbram_dynamic_current=0.000000vccbram_static_current=0.000250mgtavcc_voltage=1.000000mgtavcc_total_current=0.000000
mgtavcc_dynamic_current=0.000000mgtavcc_static_current=0.000000mgtavtt_voltage=1.200000mgtavtt_total_current=0.000000
mgtavtt_dynamic_current=0.000000mgtavtt_static_current=0.000000vccadc_voltage=1.800000vccadc_total_current=0.020000
vccadc_dynamic_current=0.000000vccadc_static_current=0.020000confidence_level_design_state=Highconfidence_level_clock_activity=High
confidence_level_io_activity=Mediumconfidence_level_internal_activity=Mediumconfidence_level_device_models=Highconfidence_level_overall=Medium
-

- - - - - - - - - -
report_utilization
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
slice_logic
slice_luts_used=1347slice_luts_fixed=0slice_luts_available=63400slice_luts_util_percentage=2.12
lut_as_logic_used=961lut_as_logic_fixed=0lut_as_logic_available=63400lut_as_logic_util_percentage=1.51
lut_as_memory_used=386lut_as_memory_fixed=0lut_as_memory_available=19000lut_as_memory_util_percentage=2.03
lut_as_distributed_ram_used=386lut_as_distributed_ram_fixed=0lut_as_shift_register_used=0lut_as_shift_register_fixed=0
slice_registers_used=161slice_registers_fixed=0slice_registers_available=126800slice_registers_util_percentage=0.12
register_as_flip_flop_used=161register_as_flip_flop_fixed=0register_as_flip_flop_available=126800register_as_flip_flop_util_percentage=0.12
register_as_latch_used=0register_as_latch_fixed=0register_as_latch_available=126800register_as_latch_util_percentage=0.00
f7_muxes_used=171f7_muxes_fixed=0f7_muxes_available=31700f7_muxes_util_percentage=0.53
f8_muxes_used=0f8_muxes_fixed=0f8_muxes_available=15850f8_muxes_util_percentage=0.00
slice_used=409slice_fixed=0slice_available=15850slice_util_percentage=2.58
slicel_used=249slicel_fixed=0slicem_used=160slicem_fixed=0
lut_as_logic_used=961lut_as_logic_fixed=0lut_as_logic_available=63400lut_as_logic_util_percentage=1.51
using_o5_output_only_used=0using_o5_output_only_fixed=using_o6_output_only_used=798using_o6_output_only_fixed=
using_o5_and_o6_used=163using_o5_and_o6_fixed=lut_as_memory_used=386lut_as_memory_fixed=0
lut_as_memory_available=19000lut_as_memory_util_percentage=2.03lut_as_distributed_ram_used=386lut_as_distributed_ram_fixed=0
using_o5_output_only_used=4using_o5_output_only_fixed=using_o6_output_only_used=330using_o6_output_only_fixed=
using_o5_and_o6_used=52using_o5_and_o6_fixed=lut_as_shift_register_used=0lut_as_shift_register_fixed=0
lut_flip_flop_pairs_used=1414lut_flip_flop_pairs_fixed=0lut_flip_flop_pairs_available=63400lut_flip_flop_pairs_util_percentage=2.23
fully_used_lut_ff_pairs_used=71fully_used_lut_ff_pairs_fixed=lut_ff_pairs_with_unused_lut_used=71lut_ff_pairs_with_unused_lut_fixed=
lut_ff_pairs_with_unused_flip_flop_used=1272lut_ff_pairs_with_unused_flip_flop_fixed=unique_control_sets_used=25minimum_number_of_registers_lost_to_control_set_restriction_used=39(Lost)
-
- - - - - - - - - - - - - - -
memory
block_ram_tile_used=0block_ram_tile_fixed=0block_ram_tile_available=135block_ram_tile_util_percentage=0.00
ramb36_fifo*_used=0ramb36_fifo*_fixed=0ramb36_fifo*_available=135ramb36_fifo*_util_percentage=0.00
ramb18_used=0ramb18_fixed=0ramb18_available=270ramb18_util_percentage=0.00
-
- - - - - - -
dsp
dsps_used=0dsps_fixed=0dsps_available=240dsps_util_percentage=0.00
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
clocking
bufgctrl_used=3bufgctrl_fixed=0bufgctrl_available=32bufgctrl_util_percentage=9.37
bufio_used=0bufio_fixed=0bufio_available=24bufio_util_percentage=0.00
mmcme2_adv_used=1mmcme2_adv_fixed=0mmcme2_adv_available=6mmcme2_adv_util_percentage=16.66
plle2_adv_used=0plle2_adv_fixed=0plle2_adv_available=6plle2_adv_util_percentage=0.00
bufmrce_used=0bufmrce_fixed=0bufmrce_available=12bufmrce_util_percentage=0.00
bufhce_used=0bufhce_fixed=0bufhce_available=96bufhce_util_percentage=0.00
bufr_used=0bufr_fixed=0bufr_available=24bufr_util_percentage=0.00
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
specific_feature
bscane2_used=0bscane2_fixed=0bscane2_available=4bscane2_util_percentage=0.00
capturee2_used=0capturee2_fixed=0capturee2_available=1capturee2_util_percentage=0.00
dna_port_used=0dna_port_fixed=0dna_port_available=1dna_port_util_percentage=0.00
efuse_usr_used=0efuse_usr_fixed=0efuse_usr_available=1efuse_usr_util_percentage=0.00
frame_ecce2_used=0frame_ecce2_fixed=0frame_ecce2_available=1frame_ecce2_util_percentage=0.00
icape2_used=0icape2_fixed=0icape2_available=2icape2_util_percentage=0.00
pcie_2_1_used=0pcie_2_1_fixed=0pcie_2_1_available=1pcie_2_1_util_percentage=0.00
startupe2_used=0startupe2_fixed=0startupe2_available=1startupe2_util_percentage=0.00
xadc_used=0xadc_fixed=0xadc_available=1xadc_util_percentage=0.00
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
primitives
lut6_used=560lut6_functional_category=LUTramd64e_used=288ramd64e_functional_category=Distributed Memory
lut5_used=199lut5_functional_category=LUTmuxf7_used=171muxf7_functional_category=MuxFx
lut4_used=167lut4_functional_category=LUTfdre_used=161fdre_functional_category=Flop & Latch
lut3_used=124lut3_functional_category=LUTramd32_used=84ramd32_functional_category=Distributed Memory
rams32_used=66rams32_functional_category=Distributed Memorylut2_used=65lut2_functional_category=LUT
carry4_used=36carry4_functional_category=CarryLogicobuf_used=30obuf_functional_category=IO
lut1_used=9lut1_functional_category=LUTibuf_used=4ibuf_functional_category=IO
bufgctrl_used=2bufgctrl_functional_category=Clockmmcme2_adv_used=1mmcme2_adv_functional_category=Clock
bufg_used=1bufg_functional_category=Clock
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
io_standard
blvds_25=0lvcmos33=1sstl15_r=0lvttl=0
diff_sstl15=0hstl_ii=0diff_mobile_ddr=0lvcmos25=0
diff_sstl18_ii=0hstl_i=0mobile_ddr=0lvcmos12=0
sstl135_r=0lvcmos15=0lvcmos18=0pci33_3=0
hsul_12=0hstl_i_18=0diff_hsul_12=0hstl_ii_18=0
sstl18_i=0sstl18_ii=0sstl15=0sstl135=0
lvds_25=0diff_hstl_i=0rsds_25=0diff_hstl_ii=0
tmds_33=0diff_hstl_i_18=0mini_lvds_25=0diff_hstl_ii_18=0
ppds_25=0diff_sstl18_i=0diff_sstl15_r=0diff_sstl135=0
diff_sstl135_r=0
-

- - - -
router
- - - - - - - - - - - - - - - - - - - - - - - - - - -
usage
lut=1499ff=161bram36=0bram18=0
ctrls=25dsp=0iob=34bufg=0
global_clocks=3pll=0bufr=0nets=2120
movable_instances=1986pins=15924bogomips=0high_fanout_nets=1
effort=2threads=2router_timing_driven=1timing_constraints_exist=1
congestion_level=0estimated_expansions=1471350actual_expansions=1507483router_runtime=50.072000
-

- - - - -
synthesis
- - - - - - - - - - - - - - - - - - - - - - -
command_line_options
-part=xc7a100tcsg324-1-name=default::[not_specified]-top=top-include_dirs=default::[not_specified]
-generic=default::[not_specified]-verilog_define=default::[not_specified]-constrset=default::[not_specified]-seu_protect=default::none
-flatten_hierarchy=default::rebuilt-gated_clock_conversion=default::off-directive=default::default-rtl=default::[not_specified]
-bufg=default::12-fanout_limit=default::10000-shreg_min_size=default::3-mode=default::default
-fsm_extraction=default::auto-keep_equivalent_registers=default::[not_specified]-resource_sharing=default::auto-control_set_opt_threshold=default::auto
-
- - - - - - -
usage
elapsed=00:01:05smemory_peak=680.348MBmemory_gain=503.051MBhls_ip=0
-

- - - -
xsim
- - - - -
command_line_options
-sim_mode=default::behavioral-sim_type=default::
-

- - diff --git a/Project.runs/impl_1/usage_statistics_webtalk.xml b/Project.runs/impl_1/usage_statistics_webtalk.xml deleted file mode 100644 index 83a5e18..0000000 --- a/Project.runs/impl_1/usage_statistics_webtalk.xml +++ /dev/null @@ -1,557 +0,0 @@ - - -
-
- - - - - - - - - - - - - - - -
-
- - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - - - - - - - - - - -
-
- - - - -
-
-
-
- - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - - - - - - - - - - - -
-
-
-
- - -
-
-
-
diff --git a/Project.runs/impl_1/vivado.jou b/Project.runs/impl_1/vivado.jou deleted file mode 100644 index 256be63..0000000 --- a/Project.runs/impl_1/vivado.jou +++ /dev/null @@ -1,10 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Wed Apr 22 08:01:21 2015 -# Process ID: 212 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1/top.vdi -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/impl_1\vivado.jou -#----------------------------------------------------------- -source top.tcl -notrace diff --git a/Project.runs/impl_1/vivado.pb b/Project.runs/impl_1/vivado.pb deleted file mode 100644 index 3d517f2be203ba75ac3ee40977a82ca933d8638b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 129 zcmd<87C^kcLU7*Ps3uwuG6ebrZbf`)Yv_hqb5ml5liXHE+6DKK1G|oj4NmJZ3K|#pc?9SRlc6U}g zvrfz}{(!_!cmp1SmjH=p;1Li{z&EqLrFKP&kU)x(c4y9a?&o|%ewrYkJW`59iQ;jS zDnXk}QJ03?W3gm35Gf6qtFn~Qg?YI^-Pm8jH=ng}!+auh(|4zw^7_(@C!$Ei_J`!w zew2hPVzF`+$Cp{31#T9q&E7xkd*t;iuj*RmvKl=-o3W}zr&4XbmP}tw&rOmaCP;Zt zs8_S90IRI>zi!nAtSVd;U{ywz>scJ@xNX;K)Rl-^k}^-kKG$yRXzGw4WOQEYFil%d zWn<|^61wrD7IS_3K6&#p<%%`VxsobnZZswuvzA@dg8gtDl6%97FS%+#0aYyk_)t{1 zJ84&zru@{iZ<7go3}nG}infk#?#VZ^8Zl(F%|&QZMi=Mh0}Wah6mD^;9y}|2HE~!Knb7TO5UY4e)#D;IQmME=>IN;4J%^f{= z;|tn@kSt`LqKmoh4``H0MbDXmLpD!pwId1x_8xC9k8|v~>qfhPc0i&khLm>oEo&P^ z>T4GTdP=c0;xRL3@2?yU%*v8Pq{?)o22FS@wWzVZ443xdEu7ApO(qrdHJVJA$AgZ> zLLYYnnVHOJh=m;#DKcT&c#w$&8f39&s<#`yy=VK{WuI#|LIgLD>gU@v8gP`%05T9^ zC@yvCWe1&qwMmE$T%(_tZbh`+Fk=?|;(B7fB=r6zl8qwF&*4zl>Y=cYKwhsbBWnK* zw5?;MW6;Yw3~X~h58L%TsC}FW-dklN?enzy;?4CXa6pwr)th@WOAe;CFBi1yoNu9Ohr6$ct8L z2cPb49X&hNg-S&*bVPl@B#kl4{gk)!N|$cL=mp1|z}2m1ySqDe8Vc9f6IBBX{6fPBF16lc6wkDQ!kOy@Bf>WemhD2nD~b&MPQxr8AbG+ z|8GKHA{!pLt@m`mV=h}Lf$rYa`*PaaD6bAL=0);OneL>iNaZqh=&76PJwIkqo$2lD zSQ`Uc5Rk1WA=9;n;u=g1tvY39j4$SY8(7c}#!ri$O>09o<(llWo*4Ps{)pT;K%eMF z@LlDac`Qq%QcgAOs<1vE({3&vif$^YOV|=ezec=j5 zPlTJk5q-VUa{d>UKKx1l0PR-+4->> zUgUM*VKM8GjzNvhr}?O>MA|W>r}viBgEvSSqYz76?2Rt{$D}<5lfZ$V!#Ship1w5# z4qNqGp(8T=IM9n?nSzext09x%XAP-e15$BMHZIw@f_bHuibBmUUU=aO=Z0ovyN?-*6qqD duz9J$T7=@})lHC?TZHUjv)1tnO8vQszW||Oe;EJ( diff --git a/Project.runs/synth_1/.Vivado_Synthesis.queue.rst b/Project.runs/synth_1/.Vivado_Synthesis.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/synth_1/.vivado.begin.rst b/Project.runs/synth_1/.vivado.begin.rst deleted file mode 100644 index 2a3717e..0000000 --- a/Project.runs/synth_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/Project.runs/synth_1/.vivado.end.rst b/Project.runs/synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Project.runs/synth_1/ISEWrap.js b/Project.runs/synth_1/ISEWrap.js deleted file mode 100644 index 8a98177..0000000 --- a/Project.runs/synth_1/ISEWrap.js +++ /dev/null @@ -1,196 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.close(); -} - -function ISEOpenFile( ISEFilename ) { - - var ISEFullPath = ISERunDir + "/" + ISEFilename; - return ISEFileSys.OpenTextFile( ISEFullPath, 8, true ); -} diff --git a/Project.runs/synth_1/ISEWrap.sh b/Project.runs/synth_1/ISEWrap.sh deleted file mode 100644 index 2b3ebe0..0000000 --- a/Project.runs/synth_1/ISEWrap.sh +++ /dev/null @@ -1,62 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL diff --git a/Project.runs/synth_1/bmem_init.txt b/Project.runs/synth_1/bmem_init.txt deleted file mode 100644 index 601a8d9..0000000 --- a/Project.runs/synth_1/bmem_init.txt +++ /dev/null @@ -1,1280 +0,0 @@ -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -000 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff \ No newline at end of file diff --git a/Project.runs/synth_1/dmem_init.txt b/Project.runs/synth_1/dmem_init.txt deleted file mode 100644 index 72cf8de..0000000 --- a/Project.runs/synth_1/dmem_init.txt +++ /dev/null @@ -1 +0,0 @@ -0 // data memory not used in this program \ No newline at end of file diff --git a/Project.runs/synth_1/gen_run.xml b/Project.runs/synth_1/gen_run.xml deleted file mode 100644 index bdfda42..0000000 --- a/Project.runs/synth_1/gen_run.xml +++ /dev/null @@ -1,342 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/Project.runs/synth_1/htr.txt b/Project.runs/synth_1/htr.txt deleted file mode 100644 index ec4104c..0000000 --- a/Project.runs/synth_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log top.vds -m64 -mode batch -messageDb vivado.pb -source top.tcl diff --git a/Project.runs/synth_1/imem_init.txt b/Project.runs/synth_1/imem_init.txt deleted file mode 100644 index f6fee7a..0000000 --- a/Project.runs/synth_1/imem_init.txt +++ /dev/null @@ -1,128 +0,0 @@ -00000020 -201d203c -20100001 -20110028 -2012026c -20130029 -201403be -20150075 -20160072 -20170029 -200f0004 -8c086000 -1517fffe -20040014 -0c000075 -200404b0 -0c00001b -0c000030 -0c00004c -0c00005a -0c000025 -0c000068 -14400001 -0800000d -8c086000 -1517ffe8 -08000018 -23bdfffc -afa40000 -000f4020 -2084ffff -20894000 -ad280000 -1480fffc -8fa40000 -23bd0004 -03e00008 -22680000 -22890000 -200a0006 -ad004000 -ad204000 -21080028 -21290028 -214affff -1540fffa -ae404000 -03e00008 -02507020 -01d17020 -20080007 -00134820 -00145020 -11c90006 -11ca0005 -21290028 -214a0028 -2108ffff -1500fffa -08000043 -00108022 -0010402a -11000001 -08000042 -200f0001 -08000043 -200f0002 -000e402a -11000003 -200804b0 -010e402a -11000001 -00118822 -02509020 -02519020 -03e00008 -20040000 -0254402a -11000007 -08000052 -0284a020 -03e00008 -2008004e -1288fffc -2004ffd8 -08000050 -200803be -1288fff8 -20040028 -08000050 -20040000 -8c086000 -11150003 -11160006 -02649820 -03e00008 -20080029 -1268fffc -2004ffd8 -0800005e -20080399 -1268fff8 -20040028 -0800005e -20020000 -2008001d -20090000 -200a0027 -12490006 -124a0005 -2108ffff -21290028 -214a0028 -1500fffa -08000074 -20420001 -03e00008 -23bdfff8 -afbf0004 -afa40000 -00042400 -10800002 -2084ffff -1480fffe -8fa40000 -8fbf0004 -23bd0008 -03e00008 diff --git a/Project.runs/synth_1/regd_init.txt b/Project.runs/synth_1/regd_init.txt deleted file mode 100644 index 463fdf1..0000000 --- a/Project.runs/synth_1/regd_init.txt +++ /dev/null @@ -1,32 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 \ No newline at end of file diff --git a/Project.runs/synth_1/rundef.js b/Project.runs/synth_1/rundef.js deleted file mode 100644 index 0741cd3..0000000 --- a/Project.runs/synth_1/rundef.js +++ /dev/null @@ -1,36 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -// - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2014.4/bin;"; -} else { - PathVal = "C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2014.4/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -ISEStep( "vivado", - "-log top.vds -m64 -mode batch -messageDb vivado.pb -source top.tcl" ); - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/Project.runs/synth_1/runme.bat b/Project.runs/synth_1/runme.bat deleted file mode 100644 index b93f7db..0000000 --- a/Project.runs/synth_1/runme.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/Project.runs/synth_1/runme.log b/Project.runs/synth_1/runme.log deleted file mode 100644 index d648df1..0000000 --- a/Project.runs/synth_1/runme.log +++ /dev/null @@ -1,671 +0,0 @@ - -*** Running vivado - with args -log top.vds -m64 -mode batch -messageDb vivado.pb -source top.tcl - - -****** Vivado v2014.4 (64-bit) - **** SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 - **** IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 - ** Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. - -source top.tcl -# set_param gui.test TreeTableDev -# set_param xicom.use_bs_reader 1 -# debug::add_scope template.lib 1 -# set_msg_config -id {HDL 9-1061} -limit 100000 -# set_msg_config -id {HDL 9-1654} -limit 100000 -# create_project -in_memory -part xc7a100tcsg324-1 -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/0.9/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.0/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.1/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/0.9/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.0/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.1/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -# set_param project.compositeFile.enableAutoGeneration 0 -# set_param synth.vivado.isSynthRun true -# set_property webtalk.parent_dir C:/Users/jrpotter/Documents/Vivado/Project/Project.cache/wt [current_project] -# set_property parent.project_path C:/Users/jrpotter/Documents/Vivado/Project/Project.xpr [current_project] -# set_property default_lib xil_defaultlib [current_project] -# set_property target_language Verilog [current_project] -# read_verilog -library xil_defaultlib -sv { -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/initfile.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display640x480.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memory.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv -# } -# read_verilog -library xil_defaultlib { -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v -# } -# read_xdc C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc -# set_property used_in_implementation false [get_files C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -# catch { write_hwdef -file top.hwdef } -INFO: [Vivado_Tcl 4-279] hardware handoff file cannot be generated as there is no block diagram instance in the design -# synth_design -top top -part xc7a100tcsg324-1 -Command: synth_design -top top -part xc7a100tcsg324-1 -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 232.199 ; gain = 74.242 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'top' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v:20] -INFO: [Synth 8-638] synthesizing module 'clockdivider_Nexys4' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv:10] - Parameter N bound to: 2 - type: integer -INFO: [Synth 8-638] synthesizing module 'MMCME2_BASE' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:16110] - Parameter BANDWIDTH bound to: OPTIMIZED - type: string - Parameter CLKOUT4_CASCADE bound to: FALSE - type: string - Parameter STARTUP_WAIT bound to: FALSE - type: string - Parameter CLKOUT1_DIVIDE bound to: 20 - type: integer - Parameter CLKOUT2_DIVIDE bound to: 40 - type: integer - Parameter CLKOUT3_DIVIDE bound to: 80 - type: integer - Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer - Parameter DIVCLK_DIVIDE bound to: 1 - type: integer - Parameter CLKFBOUT_MULT_F bound to: 10.000000 - type: float - Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float - Parameter CLKIN1_PERIOD bound to: 10.000000 - type: float - Parameter CLKOUT0_DIVIDE_F bound to: 10.000000 - type: float - Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float - Parameter REF_JITTER1 bound to: 0.010000 - type: float -INFO: [Synth 8-256] done synthesizing module 'MMCME2_BASE' (1#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:16110] -WARNING: [Synth 8-350] instance 'mmcm' of module 'MMCME2_BASE' requires 18 connections, but only 10 given [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv:14] -INFO: [Synth 8-638] synthesizing module 'BUFG' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:606] -INFO: [Synth 8-256] done synthesizing module 'BUFG' (2#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:606] -INFO: [Synth 8-638] synthesizing module 'INV' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:12850] -INFO: [Synth 8-256] done synthesizing module 'INV' (3#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:12850] -INFO: [Synth 8-638] synthesizing module 'BUFGMUX' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:695] - Parameter CLK_SEL_TYPE bound to: ASYNC - type: string -INFO: [Synth 8-256] done synthesizing module 'BUFGMUX' (4#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:695] -INFO: [Synth 8-256] done synthesizing module 'clockdivider_Nexys4' (5#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv:10] -INFO: [Synth 8-638] synthesizing module 'imem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv:16] -INFO: [Synth 8-3876] $readmem data file 'imem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv:23] -INFO: [Synth 8-256] done synthesizing module 'imem' (6#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv:16] -INFO: [Synth 8-638] synthesizing module 'debouncer' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv:14] - Parameter N bound to: 20 - type: integer -INFO: [Synth 8-256] done synthesizing module 'debouncer' (7#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv:14] -INFO: [Synth 8-638] synthesizing module 'mips' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv:9] -INFO: [Synth 8-638] synthesizing module 'controller' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv:37] -INFO: [Synth 8-256] done synthesizing module 'controller' (8#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv:37] -INFO: [Synth 8-638] synthesizing module 'datapath' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:23] - Parameter Abits bound to: 5 - type: integer - Parameter Dbits bound to: 32 - type: integer - Parameter Nloc bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'register_file' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv:11] - Parameter Abits bound to: 5 - type: integer - Parameter Dbits bound to: 32 - type: integer - Parameter Nloc bound to: 32 - type: integer -INFO: [Synth 8-3876] $readmem data file 'regd_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv:24] -INFO: [Synth 8-256] done synthesizing module 'register_file' (9#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv:11] -WARNING: [Synth 8-689] width (32) of port connection 'WriteAddr' does not match port width (5) of module 'register_file' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:88] -INFO: [Synth 8-638] synthesizing module 'signExtension' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv:23] -INFO: [Synth 8-256] done synthesizing module 'signExtension' (10#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv:23] -INFO: [Synth 8-638] synthesizing module 'ALU' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'addsub' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'adder' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'fulladder' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v:23] -INFO: [Synth 8-256] done synthesizing module 'fulladder' (11#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v:23] -INFO: [Synth 8-256] done synthesizing module 'adder' (12#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v:23] -INFO: [Synth 8-256] done synthesizing module 'addsub' (13#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v:23] -INFO: [Synth 8-638] synthesizing module 'shifter' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-256] done synthesizing module 'shifter' (14#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v:23] -INFO: [Synth 8-638] synthesizing module 'logical' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-256] done synthesizing module 'logical' (15#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v:23] -INFO: [Synth 8-638] synthesizing module 'comparator' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-256] done synthesizing module 'comparator' (16#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v:23] -INFO: [Synth 8-256] done synthesizing module 'ALU' (17#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v:23] -INFO: [Synth 8-256] done synthesizing module 'datapath' (18#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:23] -INFO: [Synth 8-256] done synthesizing module 'mips' (19#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv:9] -INFO: [Synth 8-638] synthesizing module 'memIO' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:18] -INFO: [Synth 8-638] synthesizing module 'keyboard' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv:7] -INFO: [Synth 8-256] done synthesizing module 'keyboard' (20#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv:7] -INFO: [Synth 8-638] synthesizing module 'display8digit' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv:8] -INFO: [Synth 8-638] synthesizing module 'hexto7seg' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv:9] -INFO: [Synth 8-226] default block is never used [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv:15] -INFO: [Synth 8-256] done synthesizing module 'hexto7seg' (21#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv:9] -INFO: [Synth 8-256] done synthesizing module 'display8digit' (22#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv:8] -WARNING: [Synth 8-689] width (24) of port connection 'val' does not match port width (32) of module 'display8digit' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:91] -INFO: [Synth 8-638] synthesizing module 'smem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv:25] -INFO: [Synth 8-3876] $readmem data file 'smem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv:37] -INFO: [Synth 8-256] done synthesizing module 'smem' (23#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv:25] -WARNING: [Synth 8-689] width (32) of port connection 'writedata' does not match port width (8) of module 'smem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:102] -INFO: [Synth 8-638] synthesizing module 'dmem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv:15] -INFO: [Synth 8-3876] $readmem data file 'dmem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv:27] -INFO: [Synth 8-256] done synthesizing module 'dmem' (24#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv:15] -WARNING: [Synth 8-693] zero replication count - replication ignored [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:72] -INFO: [Synth 8-256] done synthesizing module 'memIO' (25#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:18] -INFO: [Synth 8-638] synthesizing module 'vgadisplaydriver' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv:15] -INFO: [Synth 8-638] synthesizing module 'vgatimer' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv:15] -INFO: [Synth 8-638] synthesizing module 'xycounter' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv:23] - Parameter width bound to: 800 - type: integer - Parameter height bound to: 525 - type: integer -INFO: [Synth 8-256] done synthesizing module 'xycounter' (26#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv:23] -INFO: [Synth 8-256] done synthesizing module 'vgatimer' (27#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv:15] -INFO: [Synth 8-638] synthesizing module 'bitmapmem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv:16] -INFO: [Synth 8-3876] $readmem data file 'bmem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv:23] -INFO: [Synth 8-256] done synthesizing module 'bitmapmem' (28#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv:16] -INFO: [Synth 8-256] done synthesizing module 'vgadisplaydriver' (29#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv:15] -INFO: [Synth 8-256] done synthesizing module 'top' (30#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v:20] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 265.379 ; gain = 107.422 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 265.379 ; gain = 107.422 ---------------------------------------------------------------------------------- -INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -Loading clock regions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockRegion.xml -Loading clock buffers from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockBuffers.xml -Loading clock placement rules from C:/Xilinx/Vivado/2014.4/data/parts/xilinx/artix7/ClockPlacerRules.xml -Loading package pin functions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/PinFunctions.xml... -Loading package from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/csg324/Package.xml -Loading io standards from C:/Xilinx/Vivado/2014.4/data\./parts/xilinx/artix7/IOStandards.xml -Loading device configuration modes from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/ConfigModes.xml -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Finished Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Completed Processing XDC Constraints - -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 5 instances were transformed. - BUFGMUX => BUFGCTRL (inverted pins: CE0): 4 instances - MMCME2_BASE => MMCME2_ADV: 1 instances - -INFO: [Timing 38-2] Deriving generated clocks -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 582.031 ; gain = 0.023 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:14 ; elapsed = 00:00:21 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a100tcsg324-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:14 ; elapsed = 00:00:21 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:21 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -ROM "mem" won't be mapped to RAM because it is too sparse. -ROM "count" won't be mapped to Block RAM because address size (2) smaller than threshold (5) -ROM "x" won't be mapped to RAM because it is too sparse. -ROM "y0" won't be mapped to RAM because it is too sparse. ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:15 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Adders : - 2 Input 32 Bit Adders := 3 - 2 Input 11 Bit Adders := 2 - 2 Input 10 Bit Adders := 2 - 2 Input 4 Bit Adders := 1 - 2 Input 3 Bit Adders := 1 - 2 Input 2 Bit Adders := 1 -+---XORs : - 2 Input 32 Bit XORs := 2 - 2 Input 1 Bit XORs := 67 -+---Registers : - 32 Bit Registers := 1 - 24 Bit Registers := 1 - 10 Bit Registers := 3 - 4 Bit Registers := 1 - 3 Bit Registers := 1 - 2 Bit Registers := 2 - 1 Bit Registers := 1 -+---Muxes : - 129 Input 32 Bit Muxes := 1 - 2 Input 32 Bit Muxes := 17 - 5 Input 32 Bit Muxes := 1 - 2 Input 24 Bit Muxes := 2 - 5 Input 10 Bit Muxes := 1 - 9 Input 10 Bit Muxes := 1 - 16 Input 8 Bit Muxes := 1 - 8 Input 8 Bit Muxes := 1 - 12 Input 5 Bit Muxes := 1 - 6 Input 5 Bit Muxes := 1 - 2 Input 5 Bit Muxes := 3 - 2 Input 4 Bit Muxes := 3 - 2 Input 2 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 6 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module top -Detailed RTL Component Info : -Module clockdivider_Nexys4 -Detailed RTL Component Info : -+---Adders : - 2 Input 3 Bit Adders := 1 -+---Registers : - 3 Bit Registers := 1 -Module imem -Detailed RTL Component Info : -+---Muxes : - 129 Input 32 Bit Muxes := 1 -Module debouncer -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 -+---Registers : - 1 Bit Registers := 1 -Module controller -Detailed RTL Component Info : -+---Muxes : - 5 Input 10 Bit Muxes := 1 - 9 Input 10 Bit Muxes := 1 - 12 Input 5 Bit Muxes := 1 - 6 Input 5 Bit Muxes := 1 - 2 Input 2 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 1 -Module register_file -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 2 -Module signExtension -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 1 -Module fulladder -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module adder -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 -Module addsub -Detailed RTL Component Info : -+---XORs : - 2 Input 32 Bit XORs := 1 -Module shifter -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 2 -Module logical -Detailed RTL Component Info : -+---XORs : - 2 Input 32 Bit XORs := 1 -+---Muxes : - 5 Input 32 Bit Muxes := 1 -Module comparator -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 -+---Muxes : - 2 Input 1 Bit Muxes := 1 -Module ALU -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 3 -Module datapath -Detailed RTL Component Info : -+---Adders : - 2 Input 32 Bit Adders := 2 -+---Registers : - 32 Bit Registers := 1 -+---Muxes : - 2 Input 32 Bit Muxes := 7 - 2 Input 5 Bit Muxes := 3 -Module mips -Detailed RTL Component Info : -Module keyboard -Detailed RTL Component Info : -+---Adders : - 2 Input 4 Bit Adders := 1 -+---Registers : - 24 Bit Registers := 1 - 10 Bit Registers := 1 - 4 Bit Registers := 1 - 2 Bit Registers := 1 -+---Muxes : - 2 Input 24 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 1 -Module hexto7seg -Detailed RTL Component Info : -+---Muxes : - 16 Input 8 Bit Muxes := 1 -Module display8digit -Detailed RTL Component Info : -+---Muxes : - 8 Input 8 Bit Muxes := 1 -Module smem -Detailed RTL Component Info : -Module dmem -Detailed RTL Component Info : -Module memIO -Detailed RTL Component Info : -+---Adders : - 2 Input 32 Bit Adders := 1 -+---Muxes : - 2 Input 32 Bit Muxes := 2 - 2 Input 24 Bit Muxes := 1 -Module xycounter -Detailed RTL Component Info : -+---Adders : - 2 Input 11 Bit Adders := 2 - 2 Input 10 Bit Adders := 2 -+---Registers : - 10 Bit Registers := 2 -+---Muxes : - 2 Input 1 Bit Muxes := 3 -Module vgatimer -Detailed RTL Component Info : -+---Adders : - 2 Input 2 Bit Adders := 1 -+---Registers : - 2 Bit Registers := 1 -Module bitmapmem -Detailed RTL Component Info : -Module vgadisplaydriver -Detailed RTL Component Info : -+---Muxes : - 2 Input 4 Bit Muxes := 3 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 240 (col length:80) -BRAMs: 270 (col length: RAMB18 80 RAMB36 40) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- -Start Parallel Synthesis Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Start Cross Boundary Optimization ---------------------------------------------------------------------------------- -ROM "timer/xy/x" won't be mapped to RAM because it is too sparse. -ROM "timer/xy/y0" won't be mapped to RAM because it is too sparse. ---------------------------------------------------------------------------------- -Finished Cross Boundary Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Finished Parallel Reinference : Time (s): cpu = 00:00:16 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -Distributed RAM: -+------------+-------------------+--------------------+----------------------+----------------------------------------------+-------------------+ -|Module Name | RTL Object | Inference Criteria | Size (depth X width) | Primitives | Hierarchical Name | -+------------+-------------------+--------------------+----------------------+----------------------------------------------+-------------------+ -|top | mips/dp/rf/rf_reg | Implied | 32 X 32 | RAM32M x 12 | top/ram__6 | -|top | io/smem/mem_reg | Implied | 2 K X 8 | RAM16X1D x 8 RAM32X1D x 8 RAM128X1D x 72 | top/ram__8 | -|top | io/dmem/mem_reg | Implied | 32 X 32 | RAM32X1S x 32 | top/ram__10 | -+------------+-------------------+--------------------+----------------------+----------------------------------------------+-------------------+ - -Note: The table shows RAMs generated at current stage. Some RAM generation could be reversed due to later optimizations. Multiple instantiated RAMs are reported only once. "Hierarchical Name" reflects the hierarchical modules names of the RAM and only part of it is displayed. ---------------------------------------------------------------------------------- -Finished RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[18] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[19] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[20] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[21] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[22] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[23] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[24] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[25] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[26] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[27] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[28] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[29] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[30] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[31] ) is unused and will be removed from module top. ---------------------------------------------------------------------------------- -Start Area Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Area Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Area Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Finished Parallel Area Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ -Finished Parallel Synthesis Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:00:49 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:42 ; elapsed = 00:00:49 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:55 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:55 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:55 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:56 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+------------+------+ -| |Cell |Count | -+------+------------+------+ -|1 |BUFG | 1| -|2 |BUFGMUX | 4| -|3 |CARRY4 | 36| -|4 |LUT1 | 104| -|5 |LUT2 | 65| -|6 |LUT3 | 124| -|7 |LUT4 | 167| -|8 |LUT5 | 199| -|9 |LUT6 | 560| -|10 |MMCME2_BASE | 1| -|11 |MUXF7 | 27| -|12 |RAM128X1D | 72| -|13 |RAM16X1D | 8| -|14 |RAM32M | 12| -|15 |RAM32X1D | 8| -|16 |RAM32X1S | 32| -|17 |FDRE | 161| -|18 |IBUF | 4| -|19 |OBUF | 30| -+------+------------+------+ - -Report Instance Areas: -+------+----------------+--------------------+------+ -| |Instance |Module |Cells | -+------+----------------+--------------------+------+ -|1 |top | | 1615| -|2 | clkdv |clockdivider_Nexys4 | 13| -|3 | displaydriver |vgadisplaydriver | 99| -|4 | timer |vgatimer | 80| -|5 | xy |xycounter | 76| -|6 | io |memIO | 333| -|7 | disp |display8digit | 50| -|8 | dmem |dmem | 36| -|9 | kmem |keyboard | 143| -|10 | smem |smem | 104| -|11 | mips |mips | 1084| -|12 | dp |datapath | 1084| -|13 | rf |register_file | 1011| -|14 | rbouncer |debouncer | 52| -+------+----------------+--------------------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:56 ; elapsed = 00:01:07 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 14 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:55 . Memory (MB): peak = 680.348 ; gain = 186.336 -Synthesis Optimization Complete : Time (s): cpu = 00:00:56 ; elapsed = 00:01:07 . Memory (MB): peak = 680.348 ; gain = 522.391 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 177 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 137 instances were transformed. - BUFGMUX => BUFGCTRL (inverted pins: CE0): 4 instances - MMCME2_BASE => MMCME2_ADV: 1 instances - RAM128X1D => RAM128X1D (RAMD64E, RAMD64E, MUXF7, MUXF7, RAMD64E, RAMD64E): 72 instances - RAM16X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 12 instances - RAM32X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32X1S => RAM32X1S (RAMS32): 32 instances - -INFO: [Common 17-83] Releasing license: Synthesis -81 Infos, 19 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:56 ; elapsed = 00:01:07 . Memory (MB): peak = 680.348 ; gain = 503.051 -# write_checkpoint -noxdef top.dcp -# catch { report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb } -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.172 . Memory (MB): peak = 680.348 ; gain = 0.000 -INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 08:01:16 2015... diff --git a/Project.runs/synth_1/runme.sh b/Project.runs/synth_1/runme.sh deleted file mode 100644 index 9d842d8..0000000 --- a/Project.runs/synth_1/runme.sh +++ /dev/null @@ -1,43 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2014.4/bin -else - PATH=C:/Xilinx/Vivado/2014.4/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2014.4/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2014.4/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD=`dirname "$0"` -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -EAStep vivado -log top.vds -m64 -mode batch -messageDb vivado.pb -source top.tcl diff --git a/Project.runs/synth_1/smem_init.txt b/Project.runs/synth_1/smem_init.txt deleted file mode 100644 index 902e18d..0000000 --- a/Project.runs/synth_1/smem_init.txt +++ /dev/null @@ -1,1200 +0,0 @@ -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 -04 \ No newline at end of file diff --git a/Project.runs/synth_1/top.dcp b/Project.runs/synth_1/top.dcp deleted file mode 100644 index f7e99442a8ed84d435e97e1d2e2c1865bac93f89..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 114825 zcma&M19T<9yY?H~P9_uE#>BR5+nm_8?POxxHYT>6Ot_PYb@%+wS>HY1z3aQ{uC=@S ztzSR=RCQJL+FezxC<6|G0RjR7141R3Ccacs5W@fp0+Iy=0s;-BrY4RI9(J~|NqP=J zOc-Hx76<;8UIO%Uu`_$qDBF_HOkiG8D3i8fDS}4VyLHU$)@k%)J?GrBZ)~R}qn933 zf)ani8lB-%QG=S&_xm$$q+Yd{wVms^Ihi3C=(YGlVc<@FGm&mK7&AK0jNo}p1j2wW zU|tCYAEMXC;8XG|HGa1ZTwfpoPXe!oFp>!v`%%Cf$*Kf^FxrD6R!j`~Ws$n1ix*ds zM+F0DewkDCsgoozO@-j9GMy=sYor~wnaaG%w#$naA`2lqXxo}Stv)*P@Y~zcUI+@f z?Lzw%YR0%PCP1Rapgz!;kS9L+rzwtUfzY3lGrHyK*}(}#{3Mmw-lOZAn=koI+I_fD zJ|-V|E2n|Mtl(7hHLe~c=S1cCw=Hc>o3~d7yV2*n<6$?hXHdoetxDx@;nu|3K|$!s zvHw%0t`3e2W~SzsJvI*b5=k7dA0Vd!Y|lpIpwK*e%Nlsmke2$qAGNYk80@TmkPg9U zn^no^-ir|Gug4 zT};IH=f^EJ4xa{e^0(VLZ@_8z!J*kpfYs-evFFzuPgW2AE8yM$&g|>$hNsSp(c!CIi_V+p%ft6Q9xZ*>ueIV)K!4Zo{p#+|lYif#WCJt1 zuCD%$???ZFXfHLc-;0;8-gtv%<1mh`bWE3mM&A}|D2|UW)x*p6G7R+w)W5xf39nwh7^ca;$fyiOb^!thSAeghaL?bOVl zKF=O+52Me{aroaBM~@Fgh({$#ae(1z72{7jSPq;e`|I?!)m2$JTe@;R{VfBZj`pwN zBx4gJyN>6FKedJ|U&nvE2GGn*3a_sJtY!}hL{|h(Tx%8C(lzSo`o~)E_8fej#P3Y4 zY7nMjPlfN4O;x?5A1|L)y$v2`!pbwU@UW=lj*KaAwyn1H@&DD|@%eZ+YT(s;cXm@r zz+_ELYRQbDtF80)-`uU^-xl!E`s>HV%g>vARkw!GlwUPM*pof-;Wlr#+;-P+ICQ_- z)OPw{G7_vYdiw4RaGKZoQlBn`i|FC(Nl(|95{4{2PyTu8u#;N{!SuD1Un!tBAm8i% zvsr6GUU5Pld1fozd`$P;LP7hLFbkqK&5ecP{*50N`P5E z0~rsuh6M3%_YxoX93RIW-`lOpz!*hm-O=9h+v=dU}G{EkKO&8q-5wGM~hh>^3S z`z`uu$13I9*GN+brvtKl95S~;+KK>=J0ap&udlOvOefcq&}>jW^e#cKIWOKH&zrry zYQ>h9!;g05$Kgfx{?h`>@)HWuOb(=#?u%-$6zQ496^TO z5^L&6KwE@oFRxHb=;Sar&*C2B89?W859I}_weIZ@DwQ5-A}-T z0>^@cCJy#x3#}o1wQ}bb(NojSN&OiQH+*>8+IF8Nf&BCMg5`MARHAi{R1q*1umAp9 z7^kcIF_&I|=R zdqAN)`N&OwIj~~eh}Gi%kZ9eHIvMz;uF!kThXs+=v-3O85(^`&mD2(e{@wsJeQe&_ z9EHE)_vW8@>zIh(S>CZg%e=z^wppiutWz#cPTMwt-nX%N(#!8( zA~Jk2_MGFfi`_;)+lI;cG|$$ZS7Z0$X@l7~bdDvELG#%C@;y z=!i2_h}ea&&8sQJI!$F$whvAj*!b7ijP`JD={l6MV|3Vkn#mlwHhxrJkDV-(_aDh^ z`KQaYyXJbO_tn0w$2)`xRxfQdrRCMqt%SXw%31W|tM-Tk8Q@TWj|qX3Q-L1tW}WVx zoEg8I+}Tfe&8y)k)DIK&3`HY}aDmIKFE&nyv@J=MqW~sAcGFN>cpdW7>?y_DtE0Df zPkZ}$&-?Mgt3BvchxOgN?alMm{KR_vJ!jJ);nU8V`>tP`f5wOZTexrg@%pAG;OTyl zlrS$hdwDdA!y7;>G9G7fdXL`3YY?AyS1tEf3tD#<^k?6Dlo2?TS=#Ah1t*Oj@*Gp* z3#PT-vLAYAq^j7lw#E>4K1F@4Al14n%Xj9&BbX?E+_zS|H^Y?JpPpDr@tU6o^_MQr`@WmZ=_naB_VgKKbN}qj6>CfG zm@1NqZ)74-rgKD@%49=Ok@=k*)Z)fb4FN?SjYMcDmvyZxzx{olUVZ$IJi0#nzFnM? z<~hr~oz#WO=_q3zUvlYeR#nfeJJpuZnO@bol7?%e_VIjK^?oh(I%!~CM@ZGotC)Sj z&X{kQ-MwammNWqr5G{!Yoxqt51icbGkN*yerOlx!mNRd{+qAZ5-b1atpBvMM=ncKMy^L*wbvA5134BRBya*sR z`tRs((=lv^O|{5_A46Bw-dz9!8TVg>RH$6?tFa^@kWb$&f?p9{FJY1j`J<2c9jt%! zA6k4S7t+otn$c9^mw0*07ba#z;@-7nnx`2my>~Ocaj2Ie&j9!_3)cfmNZ7)~RYSn(3)jDukW4p+`0^XMr6s@B zPwZvR){{#M=ok^Hw}Gfn<`raWP$GVzaC(;umqmF1;0 zG%gT=^5l+D#}gJOO*1*>1S#dK@wIW%thgG`rR&J6W2H`DPtk83G1BJX7^F0*V+R29 z6^IKRy{%J#Em9GP%8vk$-xnZYOdUD~T>MVWO0?$)(4u#nJ& zqXc1!;(3suz^x&Z)<+oEFpv~E7>qMN6w;pm8{DOzlR$cL-Of%FLSM2tJkxYkZndY+ zt%P>?Uv(DrmYxl$Xf}h_Ji)Pu(+bb1lIP>0WkhmOH%eHQsM6n)>Zay^sqoFZr)Evc z%wp+Qj=8HRq{#A_;}92pT#qcS$5EOZONo z`@#M#1<52TXWY0fh&U{W%BFC9I5f%J6ZP$)Vo^&m>O9`WnP6ZxZOaQ$8P(b)0pmeP z&8BKS1VmL1KL;OT{#=*#t89Q&_Lg>G;LcQ{HhC&8MOZd3rP42IuIcoAztON@Mo6So ztUiwPng9`_l42?FYM6pH#qMqn5QIhoi_&TaQ|uu*25+oV))q9h1qB@$%>q+2BPgxi zc=m1a2oZ$yC9buH(n7!(x*4u^lXHht8W9gu4puYk1iV>G!7UP<1v#lf0HP{9R5AB6 zgw>o}bWpMsIT%&%_Pqjw=x(AAyUj@V2lB&CU>d?j9OC)$z3fi+Eiuw~5#hIea8Ns6 zn5bM?X~fW>n{E0q)MaH22SE{9z|l0PM8X+(50qHLC7^$l&&{L$IBSQCR=5`7^J-WU zaMAkE`e@UgzN*=^RP+sxAOC@zb#oBhFhKohap(8>+p=8zybiz3pCqB!yS83E-p|9u ztA~T3)vmAJ&UZq>k1xEkwe~B%(VM3Q`<1ClaFyX&7}AvAV^k%{B=-5Hn@}{2g2y-a za4A~fJdz63E&cQuusB^@o_sS?*6ONskEl)F96#KQ3Tyi8KpT$_7Qm|dc#U-XcKNn^ z=!8U%ptb*!BkGK4zb#qWxaDU?2Tgv`)Qxy``eJqt3GE|61F8h_P|ERU>Mx6u_tCEl z)~=)lMPSvhbOJra*4_{98UtECBlJGhS!rMRl-W<@8Un-qTF2;7t^1J|TBZA>>hIg~vs z@LqVZpqB3ZK#4+PD^<+@2r(d8&S6G8ak+0(yV{n|g%YUq=a2?#8`4;HrgR7=L^klD ziGMg={Nq9BRSBn@&@o{A^D?m`Kik@oVkD0sXC1()BDxbwa)~febOUjy1ej%G{i^v~ zClXICRfk8@;-~)9QCFcv$G2Dk`~5yrrW~X9Kxu*O!3?Lho7{ zw$bczKWkLW6l$CFB#}3!QEr5zF?8!$K^$*QT$VDoz`ce5a5&N$)62kG(u6;xycttl zDRbqp7P1KLb|p1qDG1mN8yMb}knfDA4@5@5aUQmXeQ#f#ma2OxxexQfkl@>}UJ9l< z0}bt{dA5x4QGCBSE8pFv{Y<7+mNedVK2=|fw zEY`p>Pso?4esFRdNG+3n$?3TMEZcdWF6)E(p+IK(PBR;Pj;xVuycjzLl4>P$Z@#*m z_ch5me*viMW|4E4%`t-3q?HYWsZT{F!T^yJq}!o093(xq0X}(6?}v<1V3D|u3|<3W zMa}dO)%d$gU85`HN<$RtCQU2EU!R>PCu{**_P>CQ_A$Ccd+KfiA{R*KHPXTky%{c2 zqiF)zSqYKkmMdA!R#safR*Ek!E|yTcGf!pi2xA@9->x+|)H2+5q07aCu^HBM2zQB8 zmOpC>^wNT~@if+2^6iSJR?o(jePqyoKyq2Bxrf@-kFIDvEN8U7lxf@c%i<4KUXAbL z*@mmF+;tv22y6#_uNg>MUTV!z&wcGDk}6xCscz(_)b;aPTAidB$RzE?Y#;N9uSU!1 z5Nri|%3zFB3;I?)fY^$><%nqi4AY+rd=bpJA?)?z6WDnJ%qeTZ5>Kuy8=I528r@$R z{L!kiXl~7#FK)vlB^F)p)Yh%4u>Ss~tWc0wiFRZeQ3=7vNFKW?V)K3$o|cGGWUEJi zJy#he{-r#t&6nJ=EK3l$+>Bt~3B|e1@7QJI*tH39ZPZh8$ z4;ER$QbCwAtKx}_m$#4)HVZp-ie1G)%ELoj2dz^{zK48&|J+UrXP}v$rd;33f9*5% zG5lfjVhCN^Q_RODI;&z8lkkVWhO7@|&cTN#-0{*y6tZ3&ErDFzG&3^J5vh`5PwjKR zu7>SYj-?*YL1 z_wM;ttz(%*=9vWQ0B~ytIefT(bv1klzJ4fjemFvCAWBB61-~rZ8)V8KzC(vnhBtLo zi4`eEhOPy#vVPb#cX*Pd`~>i-h24Yd_zXWYxY{Oq1Y_>bP_uU&c1)g|LF77SS|5l& z7KGp=be1Osfh!F$unRVNo@E&DqnR|2C?s+Y4)lSTIl=S|M^IU^nsl8@keaMiNE50C z{>+S*HBv9eiP};xKsg3dS=JF4na;gAo1+KfsOTbSJ7k_&o9S+1qIYa-=?m%J zU5u4Nyc$f{QMx+_<0kn>Q>q#&(^c4{I~%%n7oTc}QF;&(&vzX#-c(NTswjmB#ad|N z#0ZVLXma4NX2b{yfqu$@Cq$#pSA@YhC0z45$DlF9cJDOq6iyJBqS6=Y$~pzAo8fMo zWhp!)2nd`Ixe3L~;Yf$eX9 zB3F}q=SePMnyWAb54O`oEZE`FhIQbKCkYClqFxeZdgbCpkKspBfGmz5av3-~yfyuY zzWqbcKy?2Pg#Zy3sV$C%8E*fg#r|SBtY|(IJ<%(hCCGf)z-K%^qPxKDUwnchCAc~L zfPbwgHWa%rTA&7|AQK8U!vz$M%$JFfy7#r`6Z^Miv30e5BmQKlMnD%K+6qRu zr5tN*`d4-TBT9v;A=4#0M6I?eye{FNYKT^7o?l?WiEYG=%m0iL%z#Fg)R;I3$Ny~v zO%60Nk^&lKIKbfFzkmf^+()POKOz(Zog6G-@ZpJph&2?5bPIvV%?gN&!2-7{vb=vI zqqY-+;o$`9M#HeqmkALP`r^6P1A`kZU_cM7MW^q+c=)P75*^2nn5FX9%8kM{Ug^h) zXli8fBVm*vPJXMaMZEA2u=Fuv)WE=+oH>vP8GN!Z|u^$K@pl`S5(C$@E~o1VL0wO>sywr&=?MR91eGZT{cMs7@Kpg4FW z{!N1w3gcApHKNJ1!`%kGbK#y{J^-bXxPuqvZzorOn^}UQK-oj%1dtG$((|$N1?bYq z@#H>D3Nlm*p`_g?FoGA#*$Wy&%~9%BMGGy{5an4G^NWiF6mpJY=W{8w*0U)yyIEgM zI7?y_Oa!-4d#lcKOqzZi0WyAuiIXQnu6$_z4Ikac?lD4WJVV?d0M7+;@Pi#_p1s} zZ{4LKinD|!i`|4a^;LQUiRO;;EnnE#D(UHv^3Eker@We}YUV6k+%X)bnQB^jcFwh# ztny(hyB`pomV^$@n?O}&m%OLJoH$nvAtqyU#V1xvV=1TXrj&P!H=z!mREBE)B}#dF z)*H=U9w>hwJjn~y>?vv{(q_QbSb@P`P0K(j@03)0YxL{ZC~0utj+We?J8#h|$d^Xg zMLUT5S3?M(%1?tPfaH~{K?jUDa2ZoP?$xe8X$-alk1Pq6yJ5Am^+09W=e-%Yc|Ri$@_SQCn|Ng79v?XRgGYunsu(2;+G4?SO>-U@Ge- zQ>#5yHC!(GVgU8`7!gtoP1PYECv+>`xF=7a12}Efp>Dv~x0BfTrM1J5NH$sg?+!|v zM6}3dm4ic8T0gl`)4#(Y7!eMm@la5k;SU_9I6?Rl*8{{E4>$;rjT3a|W^tjna9#P0!OBxe4s(Uj7P59ID!?wO7|Y26 z({?1D7P6^z=_KqI0@2!3z8}&h85(9%sM2n3uk&}{ZL_Ul#!B|%dfGh8clLEROlapX9wiLi+Js`BIQ56#z z8JKCXUR!pm727+^QwHGT*Rpnvn^V614QyHKu66EqBgkuVGw$!~S>>2y;+`&5eJw$M z%>5HF_bRQZ2q4#d@Hw*z@*$!e{xaE51Mg*~2Iy@+Z!d?ie&P$&s#En7FQO9@dd&kL za&5`|g{!@CUXjq=M2HDfQ%F!s)1o!Wfv-kz=5A6u z(7du;orq5bVYE#W9<)Xhp4dqg5rrCf(yJ@Am!WLKK(x1CDHs6tNPC40W=az3H8Z)t zs$D&>x+^xfs%I3iYA{?+`W-IN9WOH!UDqkOCrW^(d*|lR%X> z4G_79+>WrR#45`=r=en^Z$ZwXu(lEhGM0M6YEzp(AhA_s?6Le5nO=+HF_69g(WE^6 z$Ie`tdBAKpETe(kEHC4sd11JrA=2alxzVsSuPP1Ij!%PpNIL^YLinf0RYL?;)EmCG zWtmXVnY|k&x6O{K7OvhZ4XKDNmqC0aQe}(~{J*UtrqKR&(h`ZjtsJ8;^!m{LvC&-F z(7Qucex*m&oGRuVacc@eTX7RXyFNXfjQ(GuKHW;&kI^Oyxix)i%YJ*xG-!-kAOO!~ zE(ovKia3@z1@Rk2FjmM^K`27g`jk{dqjc=m)Xnpw}X)msevu13LZ= z8**|^9*&*J2UtUiB4;>!$?_OWGX7g|Rx6ik>tpOKd#<^7v z;#e@dQOLpzPN-{=8f9)9ysCaKLX9A<`X-3A;`h#K!D9tX)mREH$Cs3bVTKE@Nsn^3 zn6ene-TTj!#F`-wQ`i&g1LvDZn{njkGa0kRQla;H?(FO$ z^?B2SbxCrCnd+k_F1caVNa6p?>#&8U&Tz6^79}cS$HsJ7{@+tNiPgJOw0a&bS}PL; zvp;Yjv?z!8FO0WVZ@_kSMIINY;@d_JIiG$a-KV=r6+aCDgxI$^F{6R2qYum5zG{?A z0xAC9z7Iy84L(e}0($y0eROsF2HOT7z3tu)lXja%uWcW`A;47_=TbLI23O86;JWaM zU(0rJThr%pk8cIs+Uv#7Q`{ZiIoPJd^^VxnmuLlC{EFQ?{M^|uZ8o>}V)Blzk7GZ- zkB85xk!6-CBjfV6CZUzx2kia!tjgUZV|KIMlOQV^2O$;U>c}Y5+E{6Y^-y9l_5GB! zF^Kh_v%SlDNI5e`{=b-pZ-+{)RZu$DWDou7Hcblnm-)z8gZaqh?IV>Sc<)CzJ~0N#8Z+V;9@le#$-$vyOp_S!&^;%UK@sskZcva50lfKMBGn=Yp**Z6s2WHq}lGaXw z^r_m=^Mcdv!(OxLBZlO!#Y;x??zes`Y89YthP?fA*Q%l}A+F^oO-gO>)afco*4yE) zdJezS?!}}nG7i7>{~QT!=|JT>fX$5@ zCRYNXi4Ak|?6eclVX=LVvfYf~(p$TQoN2{%(p|fyA8f^K@ZPwcX$Kx{yt2}Vyh3>U ztwk%5QMdcv=2zK5GaZKopdIX26{l{|?8k+ST>2 znG;_3k(@e|^UG*IE|G$&pjnlc+p2ozWTc`~CX1xww%o1B(~0ILsZ^4Imw(GvKj%+Z z33U>D{4hs0wgRN?0>(sWxJvd+(_$HpRn*a-Nzu&7I<8_&3h@gqAW+VK*ZjfaVfP_$ zW~!=-aTOameX42~J101M;NA{@ui8T`YR#!P#XM`7P?bMwUYESBL&LJxc+G4*HSsgrb?R|^O_d7qEzF`d|kyCkZn8e_X;I(F}>7Wd2aqGEt_Havy*iU!fO?J_dEFqq8=(2kk@EQB_URZAJC*fz9P$DkqW^OD*Fm~*yG zLOnk=FIq2~DaF|6FTELP1p>9=h$CH79`{M9;QXuE zQlyXpzju2y5x&UcJX_8`R`?IDT}n5jN;mfGe|%8Ha?`)f2`%k9(}V;@@>JxwDl2Sq zj^$!p7x$VW|G<99a{r(W_%Of+q+lB!z1Z*4racR9b6vbb1bRQ`>1Ug~}9%#JltHSw|wx~_j{5JBT>&39|#`sGGGGyy4meFG< zNCj{pV{n@$mf=!#th>;76ozuX%;+(dCkY5z6a#?=Q)0!8y|aQvxxUg0D96U_v6EFO^3eth8AHV?*t1lGP~>`vzO#Z% z(E1}g#9E>Ywz{_=7(B*@gm<>=t!!fhj(EMpWm#Scy}G0=XoAJII2TjC(Z4AUOF1eY zQp$jWr<(X|v@*KYXI&i+K$Yl74j3xL+((O#xa=a94Z-)H|H$<;7 zys)=WOM#z*%3wFR9lD<;V`SxMWq=X41Cx%mpG8gzV&7t$0>N1}#sF@gkzeHD07uEm zScX=9*#eSyQB&_3VTmGrOfY_gM7}pMgCnQh5zf*f0_))%?v93R-Dt}cJ!)y}rx~p( z8#PD};bJ33#tV-&MK+)OK%_MtoH9T6Dc25GmHcBRPVgw(6X`6GR?)J=$-X9H_*y$p zwzGD|M9+ppqTPRaji@l0XG7UrIUNcYQ`;~BA=3Mr$dlz9!5QUZ zW0L5~fEtk{<^LsCeem;G*APWu8QYd+cUpqW!$EFrUyLze=3o(ADHUhVt`raYpq-F} zVU}1+PQIo%g2A3A>dcIHH(cd)iV2W3e8_b$ct z6GJSItd1j^7p}Z4?q2Te9`rS7dzG+9x2V`woo0)m=`z$ki?8%`6OY1DE`A*IR%2OV zwQ3{p(kQ?zpBb_Etw6Gcq!+o>qZm7^|5NYY+ylW|?zkvnde^w<8;_e9CE`jH!44-i zrk=}u8Eq%Pw)U(fx~V9fJ3-ZTMlQeh>||6{!}T#Ya|UAT2?vlR9~|3*%G_P9RtY;Z zQ&>wejhItQvG&uEgEBcXOY(bUQVw@1tV?lO73^bf-qr$4;D|Meo1u*|wFdf0n4$*y zC6BOH@;B?aiyivxQfRq9dw-13&Wr|EMb5~R{-UrX{}D>g<-O}VVa6oW=QQ}K7Tfj7 zAl!3(GoBg-W1JonyGoo?+@fxN0`hU=o_xcwo7?pSf1?bXLQP^b7dA(Qocyc8<%p~) z?oNSEn3NDY-8}xu#@G9{eRL`y_Wi@+9P8_dXK4IIG&uA-;ZUVc3Jy)4W5mF=Cl@n6 z<(QQx`qW8w+>$R}ep)UErmOavyR4g3u6SB__KnKIA*cSiLN8|&{`XiR$svX1sB8zj zg#-Wc(bwBk=y^?lsxoNgza;@pcp&jgNX+d|IUIKR(in}AcGGDo4^UXWP{??iADyf)QYnS0MfaB+nuaWq6qz6kJe-b!^~=!Ar#0OsZ$O-A8QMpeO>G$T+W z#`^s}zqK zy~3T=*fwRM>F7*&>r`lO=ihY0KK|D$b4zA=9lCDD$dAKqZ=R}~5iXA1Sn?oxfeQEw z-ke|%NKZQ?8I9QR09{J2FxYuqAQ1&_Q;i4K>1xKKJ$TeE~rj(m6;S6hp~ z_con_E#W$y1J@k;NEdkDYc`zxMf&gg~g;u?-NTVmxV z!eJ3@JH&P267#Q!@a7Ha##Bkt&(i8JUV48Mj%p8_${yN+cZIew;R2l~R)m*LJiw4( zM~xE;Lh>da`P8J^p5oM><>b3Eqw?JqNkXVsyV!dpkFAw6OAh@`t}6PB&4{LRvq`+I z5KwS+nyFSI*On2G^*iz-mdoJ ziSeu=M)8Uw!z6`@mD%2!^ICf|6TvNj{`r3?f8y+5CBtC;4D5} z6iDL?OCS|D!z7bZN)F@XpHW!REZ^!T5LgtvVE~Ef?X5(_-hMpFzz`vEP`H3F1hRh! z48bQ1D(^cg31qDJKAx}5Qq6p;_&T26P##t(=yyBrqMkly;0jJp^>jC7+lj$0@4@@Y z7Jtxl)E+r1zkr~=ekX1;{14q@?^rP1CHPn-U2rt)w5Yal8=L{Pyg8MFB6~HPUb~;H z?c%7q$C13`3}=me(K1>25l%G@NKS#=ZQeqDyG1h3}$T*sJmoQT(S{=LBy#<=r z&H!PLI<#wlbog~GA4`$B8>0we5nPfQ7P^r%=FA?=k;xf4hnS$(32OWKHnMqB+81(6 zyxYN6Egh~E52RgUYW6A}&K;fBvws}5)A*4w*!qm5)ws3?0n8jufP!xZSNm|I={=Dm zk+gO`E#b5{29GC*_mFaBuAGUojei@~X^aXSd8WONH(8G(xg0WDUnd}TBd0<=O1>t*O($slH zeb&k)5Vd0@>YG{24@AWIjOQXTf_|j}l*#Gk#_SYENF$i~zEAP}vgb)ts!+^Azn>u} zHpmNk$QYyznfggXccSWp4*&9l93%Q4hysEmZy+!y{V$LU1g`%;7BLL`j}MXhkg0lU zI;T2Ag$AH=9g5s=i9PX&wkp#M>XUhTVx5?9m+U^)pqe8^+VqfdSF!<6p-T`fCOU97kONrD!STNUZqh~Q@o$+h!X73J$i|bFkIWnM`y!^XNDxL` zR_I`|IEwuIJOw^J)u5q!Hq~q}pcDv$s7x?DyUEZ-ni?^CL&|d@LT86AP=&}$z9?bj zKZu7@gw9NwgXh9Ce!vXTB%l}$68#0N12r-PA^U%R{Y&G62hY9nd7`l7Afzc$A_x=a zv%>fWoChbMUNT2e2Q&3s-fw`A($=uEF%x4yGHXj5ipaXL#kfL&XY5(}_^ix7 zKP!Ki(*(yjkhx_=@1OPPqkO{+BOEcufb|<4JAK9_H0;bbI%35c#t=X^Z1$t*&$zU} z0T7I2>{6sC*!hnhb$Z0Hyg4to*&I0_Y6Luz-pWS&vY?x;B;GQ~vjDlBT*& z3)b9hIfwoDzZ}4EqxpD&D?jI%(XqBCv6aI@P~{{aJ@8Z-796H8#5d97o*Dh<$xC}! z{}RqN#qt<*wIVny9k=pn{`6Z3uWb0x$&NMkC>qW9r9u`S{XxQWL`DpnL%P)RAVl~d zs^%J!&!j!nA;QPHAhcqbwt91gEN>z})fF)Y4SIWO`34O3v3w%XsTc<6WTqB1*_WLX zKG_96B--zX3fe;`wm;jaJT%PzRjOjOm#jaJ+FGYH?zVeI%=qBAjXl_RU8iX9WK};` z8%uK&=8l*Nuc3%u1dcQj^mt7Mzmb|mXW1v#SP-7v!3Jd}bgB(xj#607ZSY!>V@QqP zBlJ6|!(%BlQ$$8fl8+OJRU{q93&L$gD#P4yePtU8Fl8GJV}3+Ink*^N;iO^JCunP1 zRv@C{5X2Y{+_pfB0{k@O~_(itBi!}?1%Q4CScl6C6WnS98ZN6!(XI1 z=PMcpGrCr$$t0U+Jb>c{8oeU%edt4x&hjZ35!ZR50H39rt?l`Uf2p{xlo0qzSwo`g zul_}UvwNe5#iB|G{6LA$O8}pMFmLAZ;&e*pO=C7A{+M+v{It%(VYWuq%w)=dfuF%k zy%Wvs=6J-}6HrfZ=x?CE&4|Qs&FIB*5r5NkGnulWy3JUZ7P?Sp@8Csw^Lw|Or){Dl z`k}42r}Habp=~u9+2H2$?a!UR&-eE_C!#k0Eez>3W`A&li!X0({8f#Y_?aIjVmO7a z{Nlt|oiv>vzz+&V&;7jfZ`38B%{d2DLVip^*X}i$kaEtUnI@=JdC(5YYva1jP3AHO zM8}_qVSR5_-`pci50#;|ustqYPNBE$Ev26ztQv^Tb_S_V8jkz3YXL!c$XB^XBbsU=jgZ zVF^jDR;}M^5~+D5PhYN}vs+i4JNo6XpJIjcGaEbZ-sZ*CC7&9hnLy9GhfV_aB57G* z`opwIs&|$gesJ}(0-@*m%KA=x@H^r9W}1HYvcU(qNH_xfH`5QjzQJQZsD87LgI)SC zi_dLikC&~GxSCK-s(+AymyVJO-aHf9LgtqZ;%D@3r zy(3Bvitj(8RT}U9Ww(0}W5z{j&)7ci6Wx>w@QHRwo$!ffO+ELCzE9bHaW|u$GLW{F zX-eQ^`of)=(UCTcsN2nr*9qVx=zVr=Hh8}&-zBX1OdP^TC|h3>=%ET+NBw>N*?jY< z5XZWEr2zeDZUXw7IIYJPRh|y76~^V>Tu0KtE(pU`Hr{&p2?WWB|MoK|!8Ae!&9(+h znk7Y&Wsn@!T@#)hSBebxFsa@ZENZZjKsb9Cew|E^f(s>0T8IeW8e&`&%rpudmq|1* z(6JnqNCh@Q4}Uy?7!DysBo<^H6NFg|6ai)|SctO}dzw7Sw4E#m040+oMK<9i#RU$P zCVCrnwcIN$n$~yI_sM90$B2GC8{9;uJLs&EnzZy@MaG^Tm{MY19GkRcYpj%%g-9Z; z-1H(WWzE4sB{fqDN?xIrppg_5{tIT%nOIB8`co|_3sMnCbybtHLV17zh6<2S0)uJb z<)LW6AVe`K$U+Equ+gKIjQxv7YJe?+2tSB%m zvY6H#gARkOSu;m{huN_~7w$p`GNB+=@vt!S6cqSrDq^fOC1Ei7^fdWRLKp!aR20FL{9nOkEG{?nY_XDC6 z3-_^r0(xnfaP1fb*k&ADG;?MavTb z9o5kjUVh_zH7ZpqO4e>aI4hQ^bG2v0`O7UAaLg|eZObZM51M5u<yIe^ z&Fu*O^~vz3Gpqcv;}w3}$$Ma<@01|{ABR-L#V`eBDiGL~(7=Yq2lfKz{}bSX9vz?i z|5W^M9=`*DJ?OtpA%-ZW!;boKaFf7IOeK+G5{bE)#Qy_w!L!GvJm{!FIS=ZkME)?k zVl`r4`rM0(9{>fTMky%KL%_Zk4lExMuruNV%g6=nj84FE9xzEqjQqC%KOC6X|Ciu@ zI_z`BBo_X+1I(CF3_|1(u(tvo$dG`A!yg?_0199a91Xu+V?Vj@B4umHE*YGy%3eag z_3|Yq{*SBwSN{Lg{(lzmKlZWzf9~L)0~auV%m2Q`K__6_{HufE|3?9XxT-6rR)>$_ zbuSqIM(fPyl3EIi0iWS0G-FUq@?kI<=?F+w;3HZNtTshpwG{v#(f<;l7A2yR50j8e zM~I07>oo=_zy%6efC5ud*oN^4G~@pgh)0BR0Uexhh|&0%SjfB#O(p;Kk3-Chbg^~% zb)|Xc*LeO)dn@*cZKlXsy(qa@bxXAQH6Yzk2F<1N^PxTrZKFUF_u}qO+oy3jCK9C=WKvgLP_HMW24!XfBnx}%#n$y_GDuZ>+2&Y5L({Oq( z{SJCQwqW)1hW4KJyA{NyKFbX2w(5a@0Cm31T9V+d=e%mzu>yY=J81s6U4j%q0&aqrut^ zL&#b8_cteQg{Udbb*?BPZo1Rh`W(tovueNZVhQ-E_u{I+>{!$#<4GiuCOt{1PNjr8 z-Zg@VxGA2dHhwC;<~`9vc>;K1?(X^ZKrHky;0T6>R5#YNe$6Qrm+A_V6jQ*Xc~~L` zFRe)r1RwQXZ{@(Sj=j9+n|)j-s()PfiR4~BfkT)VpJs^+gkvCm57n+5K_-OA+&3b^ zsp15MN$q$gl6H9zN;ruMw8X)OBtamDrz<-)g4DV8)5LE$_$RR>bwEiT(17{RLcH z(T4nSSO;D$Fz9=dK(k*=gc9&ZJ=#&Qj%1;3D?u6Y#i8Z;^y3g*NkuVRvp0&nySux)6Fg{ew*(39 z5ZpDm2lpUB0}1W~cM@EJhv05^?ag!E^PaEHck13-b*sKVZWY6?r>CcC^J|N-*b3;?H@KOtkHk0qw;{7=f1c*P{J8FRz945aP z@9j@dHm=OQ_59icZtC|QoxFU#_?Eud4D)vhO=N!AcKwf4huhnte^wpCSnrN$4%^$> z^x4E#O;%PfR9163y>~IRC)Cx>_Pq`Sp?0@Er?w?)!vP=e{}iXH(^tm|+q|7tV4hLY z2Ocp>O~)VE?(bc+%FSAGre8~~ak*onl2A6{D$jndb~M>}7%W>l?2q{3`RwO@E8d~| z{C@fPh@3ynP9fM0)%G|2eiW$|S~VyYhc_l^WNX$0buWK(6J49|0UM5s7)6nxKTj1S_VMCu%U;qL z#@uv)&H6zFICfi)r!_VE*M44?AeS6K=#820lg?#i{HC^YNeWY?iXGdAh^NX>! zhCwNCEP-4`S^%?^{`E*iWzW{1@fbq=`6W)1)#E3-4uZH~`wo9ku`XiRS#jaqoF%lJ zj+hXQLcqHV;E@bqoqSQ|P zXdhxd&o_@zDH8`!X`%pi3F2wL0;rM%fWlJ(D6J%roH{_krhw#h0jen#BxeFpZ_`0? z?*IxnlQrn(^y+ZTFL6yMQq_UrS* zFV`k)HEGas;o->}eI_yM)(q!7DRn$4&G`izF{%~>zb&TK{@O5D*_4;qbXg)5(P*U{ z_w*%17rRC(N<*tkL#Ku7*B7+CiTxJAS;m28(3KH~%q@^bwbOwqt@aTY`^~7E%j1#y z1ifcjh$%4~Xer&wARK=h*T?*coMXve*XBJ^e|4l|&ibm`^d*C_Unf~cNN0rOx zck~T<30p-5(dM_gBsH@N5l-iHhSNsCYDt*QTx0DSK5FBrD39mPr{~~69r-7`%zSIJ zXN%QSqQyM17pYsD37Px)kNT&UrI9^3$de@*uPB>JdSt(vYW>vvdg6uhIgwS@-Yt!l zfVGQ=B92k#ld8RvUz}a{=PwO*lv;i{!k!I`_0#Iq1{~ScIsq~jU#jbFsvBS{;{X*+ zQ#M}{F5?p@Wr#Gde+6rqQ5)1U{b4g8_;{tXYy5D_`l)qJ7T_)J@fgVSmX8D7UPdL~lNhRsoR!w9YLUeN8Cu7|*%K7{?2rU@@fLiocm5+Q3 z{o%1+HUC4=)!AsqbmHx~fq>_KM!;5WO)U%*vf2i_jZ*?mu*WP093a1lvCX5hwM_ul z!6A!*yq3xCJ>G!RR}O!F&?x`J=4TEWm3}_z=W~z_zyVy3G*%L{h&TT^eIVmi8p1R~ zr0ArxwO``QV&EkLHwXB@2lx<+XNo#GFng;Qs`a0PkxR7^US{6MGLZt_yVgw|d||k3 z;>GK92Tk2S9qY~3UYjPseBvqpt3y@wA2F2@8yoJa`*%O}zD@>9y5|AL@d3v4jMs+^ zTBrd|+ZHw0bz5&N+2muMRKp1&->g`#KOLNJURAa`e7MZ<-taKcMRwJBE!GevSqUqQ z(3O-}RI=2Mo5R$uIo%6v^(Jv{L~WA53MdRdzHaz)BYlhVCSJ}&F z+V0)`zp*3imzQzg-kRNqlWKa|*9vtYScI!PO?h;jlk>=8NIAb`XDm(E#5(v(^)-u6 zFmr|$e*pc>1oV=qi4M$@97Riup=_^1zVaa>CFfU<1r6U>Vz7)5ZO=rfn$a~kMHyxc z+S?Q1(}^2o--lLA%)b+RTfytZ`}W(V&9^L$fDgm_29!rcTb*O#t9UKSW2gUQjY1#g zHS6%lC^c`Vn>eIjzg-)xsvq^#QPskn$Nz=(q}jcMaQgXAaY@Ziyy5{lz`CKLv6 zEW*u0!QM=Wp5qD9PEg1$m!x}_W$@?VA0d^ABpX4CSjq4Pl?YlSD-{=N5WB-?()_{|Je*rNFGLdug2PgM{=IQ1Hie#+c8o@y+ zrVO+M3Wx(5!BHuuOte4WV%>bf*z#yr?LTtOi(UebXlTCf_2joLZ}GtBP*#)fZ;Bjf z?fmICUyO1;2JDZ1Ea@)w&@1aE%!Es42gX{*!8s$JoC*;4i1YqB z-rqlkfW8OVH&x}DjmW)edvvWHH^73NQsIiCdE|RV7+82gTfc6bc{vl>y`R&%PjQD* za7$Xz51=$MCN$;cV(gH_!{&@nV5HiZm@J42XYkZHX>i&B&6a*Eo4gW zJf%ZOEN9>}w;e`_)lUjV)p*I}@UB43jIh3NI7Ggb&(h(jg%>EqQiGhm;c7nL(Vcw; z2#8EC8c{d-V0P%k^yKZVGfbs<;0)no#a`_b^2GwG2mn~GDj*iht;F*hk+$=SCXn%p?xxuUlJSey zI;iU=_gxGimcoadExQqXmTS#5`)<9!`5M2(DuYc?)l<|#2xW%Y{NiF#QW@P%AdFLi z#WBg$+w^3BHGayqe4En;t;Fhr#8$8(P4!yD!8zi5$zBa&6v3BkfP-rATd<}v4iU>PV_{^SgIkomi6(1z1xdryJI|!ik z7m-eI7&dMZgvU&Cqu`g636t@Ygl}+;7-5Jyc;$BrCgPA{*`hhk4xq*tc%|ePc+ENy zhDS_u!=c%F#Ri2Ck@cRa-)Z(jU)$!$E26a`hQq^sSau?amKM%6Yhe)WbyDvw5Jo$` z(d@NAAxX(46KL58dfKr}cl09vf{(8>dlf8db(z+7Py!bSW93Xl%}0=nN#sR{N(~hO zD+?2j8H?}-F&1Gog@bDHm+7l@C<u4c+ zxUj{@p0ULbC^RX$WJvJcXIy zJSZycJim-=nLosIj$5GKT&$7n<28zpeE*FsF<5q{DJyr*t*X?7 zcjfcGmU3CJpZ>Ub8#tMwazFaV)7_0PtpNOftISW=e9Oqe?tRnVzJ1dzpg{NUn^FTs z8YmV(2?0t`|Gq>o?Vg*ZJX7S1&C;7J!X(s9HzBUK?-jGPvl^!hQ{k!`ttLP9ZX+qB zWj7(!E|Rcer)Y&6#Zr~qEQOC|LCNFJwh}ZUCP0xeQ@~n(rI4|%1Kqd?XAhKQ9gsLa zKKTe=q91!M;8P(%kr!#es|PYcF-qVD;S@w$BVBpDOF5)Kx?*^T^9O*RB}yLMrciQu zqfbmloH{El;?=7tp}G{`HIekqYNz3{s!62Xjp8E;zT1C_hfIN^GTnj1*h`Q=TQn3V z3#@S<(+NZ?2|~zFCxarz8j{Rr9)g~nWBrXZS-^t<$t@Qo5dq^exg&H2;pR^L4a$uH z^;OqsEyW~d5J}^=8DR(`qr++lQblM?j&o@QH+c$p`@h#{5;{7*%n}jP5-_8G@Ltrm zb^vPK*Zxt)X%?Q3csntZ^qn*UP9TA-azy9Mr+mK3TIBw z^LFIJy$V;yO{|`DLgTk;ddPA*Ted(*c!>;mt{rU-#0<_Zn*3Z-EDn7cE#mC1dab1l z1f4sh=Laz>M#4{*n=^-|!GtvFrqAn^rsNxzrs6;`0gBzgkFLG&VU}G_$xcgXPN5&@uSZ)mhGMxJl%l;b9oNwIp-|hoY5n17?J2t(`+*z&d4@W$DL0l z{p#opvwP0b^J?%8l!WfH5eBox=;&0qv#+TRJbYWW@h>;(}}Mg*TIWTUhm77PB+F=0|fws{@-X{!Z$CMW4(1+iXHCh7N$y4 zm-B0p$=T^OkOpk=tN~(Gc6&0f_HSW$88XcT6gC?%5*~HNfA!Kx}DI zhaVA3?=I4=5RpN;a29~hWwZN`B94hCHOz}z`@_et0y>6 zQ2HkjHI0_DK+OMTW6lEhIxtiJ)x`fg1!!62;92`u*mG!QsxLP#+ab1D!5P(b1FAQyQEI7ZRFUW|Fzn#fpI62N3!+Z#{FpTQm!mt*y z5o|ZPIV{}WkV)B%jSxM`dB2;8DB92(;@@3=K!Eid+&)R}{y02YPnm<#FE&CJu!cm*kYBTqh zE!r|G(tX;rNEGb$yqLWkLs&^1Y59)4DuFJOr}I=m+F0t(#;xj2d2{JOgP5N^r`qN- zADg48qfkj5t4+BpeKwr9kv}d@X`fODnT1qaP9fEpmZ!F)P*-otZT7(Fe`pCd)85qh z2;`YXAro5y*QC`>7Vt z{R_A|IJry*=-%;?de#&?!cpJ+N1$^W|0wkGAd4W!2X1i3k5j+fe4u$jm6>P96Kd)S zC5>%ojEMAWK(Nn8?RT?p|6(22zh1E_zn8eHa=tTwA6!lR-A#F{AoY?@mdiiiUDr(R z?KDK3Kp}8*fz^vm7COJl`E`v%bM8~-cXtS4ttlEqp{m79!Sqjma8<}u&WPyScJpt- zE)Bi#j3NeC$A527I$HOfT9e3lr3RzLw|o8Ql{W4O-J0@>KKTewO7@{FDI%gx2eB_i zC6-fUV;fsYkD({X z;H&KmsS+9}L^cF6)2Qr3{*)J?eMg8gwV~bVll~~QnIDD#qr`k#s(6s*6n@5>d8_zaSO2tD-0sP;o-ji5Lbwt)TV%W#1&?sW}K4ht!t+@bf2Sx z=YkVIr;7bqxTAL5cPTxMf9+i~F)v?E+Z>G;mE*U8g|xOhjUYv1;yFKOJ@p($dnu=@ zu%__={IqSmj2^m{SQ3JFtH+yW;i>1?;XuY1_o%N;e=iC)|Jw}Mvqdw!A!gEdksusi0@}GeSbDmbOZTgBywBOuRVFp zGIbC*`=?+Cs*4ag=!COst!aTIF>4@6Yzjyc19Hq*aiLy2@ra2X0(oaYKGH4IQo{i4 z1A#@S{;D24D00!tu~;N=@e|HGVqI=>z4D73k&GYdx~xf+137<9aX^Vha{|Kw>Q5<4 zg@|PJqapvLuHs{OVv_j{*25^w3HR#p5C8BDxw=fV;JnjQLH_~xjK5X{g2Zr~{S$z7 zd4P5IgtBdNoS>AoA|Pe0PgA^iNRcxTl<{Wu7#q(%H^ITBfs*%g!k01p@7!2h{4g<_ z$fC|n#vxSCl|;S%ro1z3vaqnHTGR zK_7XgR>=JF@O}sQzK4u(Im%W2koDn>%E)6;*@eIQY|2JZRF;OV4p%so;snRr3l;is z#-a<9H&XkA(t;c^r)mfe4>tWNKZbj3R9#P}Y`*#3q^z>VW^oxVblYc|Ap@%hJT-%N zKcQ@uV(Wm#lO1A-RqR_}ah4XL)=B@9OR!1!$fkx(n6)`RZ+fZU*#J(C$RXye@?`jT?>T6$hv&5{jV!VH($cC zX1~HBc=q##i*gfq6OA9m%@$H}=pCOT6wr;C#v2ss4_p>!45595_~B_(_MtIbl!K|^ zni0M8Y9E;w@nh>it7*cxsYcfI3&7+%xdC0N{r$SYMXqle&WkfR^{Tr&fuoFm6mZRN zor*WUj!rTHYjH$#mOvSa*)+nhp^H=?GaFeqfbOx=GZutRZ|j!>7L##8s#&w4HOel6 z7?#tz6Y&-3C7oLATDr>rUAdVa~+(R2hq8&9CvMs1cUK7%CmNL;qRU7Lkbt&l3+~~sW z=H6tfnMz%rp?wbRa;Tc_WlH=>HT=DDA<7bwug2I=NBwd$hkzE-ovK+b_~Yxt#M*hv zW6U>V7e1j?G~uE>QW!lu3<8S5*CH(lL05?7t4?>UI$tWRy$@((3<``+XNe(p_mHYk z4%~26koCTQp6@-BQ1`lEFMghuoRQt$9V4L&4x8 z@Z_9m!KOx4&$*L2R7@B`72y#aL&9yAJM^~$!ooC@ljB-0Gsk-gMbXtY0Mo$8bqVTc zYC$KfC)^*|?^te>ADJkVOm+GDk>MlSu$f}68)b?7BXfdiU{ot(`p7&`kz3QNiJ?hw z;r1RGxFp1H`2j+T*p#C!{|8QSv5)?G?=;JK=u8&|q74oMmN087|^tE9)V3-3u$1*R9zPvAdBvDcQfd46%Y(_v-G|9^|XR+%)$cG4QZ;g|EoB5LU<(0++8 z^pIv8u{M2pV&FsSe|YS6!`hD4S+cOvd?EBOZ}P%c`qHb~sZCbad@B1dQGn<8*sS8O zgx+-AFRaaF?CV0#q|55q$&z-B-X72<_B8l#`RB&TpXY<0_3!T{5BewLWn24yv)n;X z@}`sHFD$gTN`)V6J@%%{97ptS^)~$Se|#8O`+^rxmCH#!V)Y-#8dMAqq`e$#;6^*V zsxbe-+q=oox2^Mg`+iQFaKQOEWmRqwh2^7f#)kuEv6`$Otv2r_mAQKzvIyO0Mq9Gq z(XH@sAC+dVIAr~}BW;>VBp(uTfzgx8r8peIwIsa_uA;U|aQ}^3|8ZGzK2dx~_zd1# z?up`fi2OI{ZAcq+K!WFQ^s|o-lB@q}G@VQoCvf|X4hING%02yWs^LJZ8PNI@5cc?u z{=cct0=!cz>GB-By7-TnGQ7qlf=;j0F41bC!J*DC-vMIHU8IGws#Qqp?H6W!GE^ z<}{qH>jQHNv2}$^Dx25@I~zN)-DTPJjAC4?s%9Ky*U0wVam+SS(fM|TffB^|`q(dH z$H9kY5jK5!vsJDWoS@9!^MYjwCB)t?60*H~T=ovTDqQyOpkH4>zh;(onT>P5)oHh} zxLQ=-WH0h9j5Z^vhN*ryLt_o(83}LC*%aT-?2&>yPYb6>c#T`T{_)qUVpp%78n z#u6hg_xsV<18HP7Q4@Cn^yW_1{($5C5<35UZ0Eg`>zLanuu;0&JD**bXYAj`n%nuU z)3v3u3cVCKgkP*2%`t--c%{YtVP)(6L0`me!NbyFB|2~*N678d{+aF5Tkp%;gN_V> z<#wO#IO&nu(YVi*uj+j@9-8BMoWEXMq^Uk9VxmHR&Lo`}uZCwNXup}Ie+tThFW*&I zCBGf~B+$~}eZ}Iud)}tBEY~NVvq3frZ?uVa&;L-yz1(|yqPIylCO3g~?Iq@)))Mn9 zD!xoD^1hNZGA9gl)k?q)0uQ6UvnBc6|L!766+Ym6HNRbA<#`)A^d zxnrGp=jZnRrw68My!-RYRRe$-3}P~MfS9*oB6<90*#*9iyCiEhthc6rZs@h9H@o?y>i7|Jsd`I0E!>19j} z$hFBnJ#5~Z3Vn*N-_`Z|U-;f}54ZjQtAhA?Uoo;jV!i*?|G$+34@8cBfBxe}F!$~M z9nGo(Gq-Nnf`20_|Eqcbt$J0@!FK`AuFDwB;ZF}#cSLvR$oud2CfG~A6o_}`cL2;n zF!K;#($_5DE3xCJpC=!$k>WZyI6S%dYgrdIzj=P}KWTXUWr&5!w0qfl?u_h12pnI# zD84An^t85ij301y-H}Rk)BzmF>|2Vi9Vk{P);EtL6Nk^9=r|*AUlGf_KP$YsBI@)$M)H*d)oRK#rwWkJn1A7@i60;k&= zk|^fi^cW3Oh5Mw+pt!Td5F8lban820n3qTB^{LT6uVdp$FTXqvM;h$S$+n65WPF!i zt~oc71(E6W9p#VjCpP$d(lX*eqmMJF-KzupvZiudZWgui&fhl!AXZqCGo(yxkmuaX z0ugWs|HxV^ej}VqagqMaxLvCGW;m{*G$s3uG9sbo)Gw?NwGW6a18SB;Bl(5M%8bn@ zOf{1!E+hF=NXm!?;X_uj>LVQNpFH{_$9)^bie2dh_a1eB>uDS&7Y}$QFRp5RZO^Tw zOGKymz#c1QE{VMyEL+Y}40}2xDN{dWhP;{3i`Q2W&KfCGZI|6hfhP!vM8PUMBQu$t zEB%0?`caCd?%}Ln&!g@ETll-2M$LEV&&roT9Gnu7Z(kU<_x+R^RZre4dPkeQ8BTu4 z(#YLeNRcnhQ+f@pdg1+-ym8tvNiPeZ!J-hR!MuVYNpqmhk`Oy=d)ic;hLlHwHmIua z+b(88aA8Wk(Qd9JZHez6q3dNv#%+s*Z&u0*QL!sQT|D7TGfp)q(4qMZ+oczVq|{PeW}MKbnSp?Bko?xx7*_5Tl&>m&^;(+id7x}90b@K4E>8-mkX|68K2{k)jsU|D3Y5{$dr&=tXz4#2$jfwN;Nc}Cub@>a{vr;?zs34*#H3p>h9%UHB1IQ3D>& z>kF_k6zM00Q->*7E{ac|gmk4)Aq3 zLnw4R_+<00+mI@1v3g+x7R41h%7DL2%*{oO_#8aK$95$46PONDmP9E)kv%fVS;b}* z_HMkFVcS=AUPimv)Bs`ms14pY&<@i0*i~R#vnIP}CTdF@1w6z!h zqZai5ESIk0=nbAjz|VlUpc$(-*dtA&PcqH~nO0K!k7>jUNUKovlh2*eKP;7viMEv_pFv)UVeL1-G70&WE*H@6}&3M2=E$gc})QG-PE$eKUu-CLK z>Rk=vu%1y2E$M?YdZcO46VG_dw1w**b7_&hlD@68VZiDj9W7&6u}=-*cwVu@1tMiC zE79G;u+$`h5Cr^Z~3V%)eMCxg|e(-(8G4KXGi1^;?k+@Fu=J@{0Gcy}D&do-%tby+MScYpd1K-B7_Nw`3sJ%b*vEGnZa1) z3KVl?c5Xg1j>rvvw)YwTJPGvUymap}>;8LaRGLJ$?Vl`?gevEo{Yc zf9-^#XJ>FnbmDG@SWN!sLtoo_y*1z77=^4aF)Ox^n{zH<2tN1m_$#7au=c@X0LIn%EvHu>C__g`Q%KSBq@UzNrGgM!w_s;Vdlkt zQh6OB2vH`KAcPSdP{dW)F!ZUxzqfRLMHwz+{edN^Uk%^>)w&2L*znS(?U^d+ z&UTl0{JVPA&CsV1JQI6pk8LJpAInuqr7yXj0x?!LoAfPwt#IjdQo#ldO5Qe9G%Y-> zR2e{3xB)rFhHB3!vq7u^JIHw5t}TR`E32_)RF6s-o80+r3{-yU#4!HV$@1=_K1yDBP*oMRcR`v@uflh35*!r~T@%WFN zd@>oJ_`+6a$1X}9+vIroQx5J+H%V$`n098@EAQf{e9yPz9*I1d7d4~5+zYXTR=@V> z8BC>}e~M$b*`%(C#S<4eqDnJ98s2LcM%nM}Wi&sXt3g>7qrsCr8tyWZH^-a>s-rJe zQT3Oqem`FKFVhl0TR}hLf;HgAAhWV>U+UE6dw?EcQT74o=}Lf}VpaA9Su#=rw&ZK; zizV&pU^lZNW?oIUa#^t#sKEGeQ}!^`#!LWBgwj)Jhn)!eSlf9aqkmI+ZM4#$^Q zdZW&$(c;ofjwY8$N24Gv*v3{6a~MKbE4~ST#txfo<@L1kD8%p?-1&Q=f+O{JaT9+N zgMA_sH5e1?E!67gUdr*ei=*?4Ri0NH5Iu?-f9@DghPh81ioPGcn%cFOM>kHERa|S? zlYoSXXJdgN^lBx7mc+=$K{&bTk%BND9)O^TIpKux@->4DJa)05NRTNbfD#cn#R$~t z;=~w|GC+YO`-K3*tctKoGAKb26T=Dfio%oP#biW~tsEeRW470!x32eCeF?wi<9tikACX}irRauEpNIWQI0^R1k z*gynmf#6V%S_g4LNK80g1RgWfl@2V)udB@}1Hn!*bnoQObk`9RP=cA3Y9)rGgXtx> z5I%eKLKPq&=feD8D2GBr4in+=f}#n@N0MN{h(1|YNQe#oOmV6#k`?uM5a_a68`$&k4l}8IU z)L}@v8dQ+T80EWWWTD8`&ER3jL3hR@A$xVUb%l)ViRT zkBiq6gJGAQY1SbfywpzQyP+HeF-`*0g?L|UOm->7sne}ugVG-`dh5Bu-#!q`!&`0- za(sf8GZBFQSnq@u7ppm1kQjv^Aiv4bPc4(3B%pXIKgE!dhvX%{DF|@NlDt#^4x?9~ zYL|49j+%&u8`BSF1~Nt=SSv~>vZROb&BVet3c%4aAfaMOK*&lREYtuNy4FVal0OrT zmWx)Qy(I%FT&GioL|0!`;8K|S%y3MTS-gk4YL54IQ*;A3@BahgNq2UG`{ST5UTPqU zq#i66`JPmQH&eOvyue`&JOuELCyNzBS(KyCGEtRpxkO7ecCrYPzI~0XcN`3StK}`k z(h;a&;jY+QTqi(dVU9c%mB3Q;N;gfNsZr}G56P~d4tG9UnW|g}QWZw4LE)uXbw%ad z^1YM;93D)~F}jzM15FqF`4`PgNv?gR#+&_84pA5|=dx6(s(8ui*p&6AG15{M&V$%g zvdV&+ru6k?jb+p}1(6g`_K9fe&Y6NW7#kSA5J6l~4p%zSy;kbaxFcyIfqpP#edTH7EI-tFnemV7uN-uv0 zCZqm5w*q9g6$tuJm=rZu%+jhEBJXTo+Szw2lulnqO;iU2ord!;;Ilc3hs?gw{GifB zFZC)OqSTWv0fT2T7%GdvuvQ2LY%u7*qRi|{()_z}FAO>f1_4`hcrluZqhltx8;pJt0b9zV55Q9Rn;AXo4dA zp}`!j%*3K+3tNX-s*M9&DLu1D=G3pN8GuVGv;wzJHbYfewY8E=X5<^@EOjatXIogVq!M6!P2Kwcr{nj`zFcMcl6J)vxIcjS za5POHsSwW5*G0$oG`&im&Zx4Roz|yF()%&2Ky*$S*W{+imKG>W}z9X;mB45$Iv$T7-=JP*|@EPPSZC;W{sjq{+!I8#^T zcy!kID9-OToxhs(VF~7=kpyD4VF&=%@fAlAIcPv5sjFd8B5?>{f3H-h+k}wjR!o)0 zGX)|%jR1|(Pp3{~7FYlbNR7e)On^-&WH?AON0*u%R!JfpK@y-Jkt6HJ#5V9g*M1j& zXYX%3$@vPLh`@;v%Hbs6zh&YGu6ZX@JBf>wSt?^m&*=z^*lK&biS*M`YXonbLp8VNTuMnvYldT*uOpC=(4Y2vMZib(gGI~*a>7vP4Bp+hXWP|% z-3Wod8nTSZ@59DVA~=A+<4kdBh%68xrlp$^3D&4!Wg4@a+z*a%DlKMcC z66Lk2!mZedf7+MxF2$NZO}+3)S2pU3x<+9#R^v!sJ30Sn@nS+19dK1Pk^lOt9<2<# z*a?XhxX4;D@$>8UygZhS|wy1PYS(Q!GD=!Ui(SWis)%aH6~Q5Itj z@Q|IKze5N6&wv#^S}!^fv#%BbB=Nz z^a{lWcsl5=$mj&%)_4nq<7*7J84=rfs7({V_yI7kqPYv=aNkm~NdcF`k&IzzF(K_@ zTnmB%5G`_N%%pcIwsQ4UH2rjQ;g&M;Kv*7!q7#7o=~5yt<%^9{U>kKqf$0T2M^FHJ zj+q3u7}OC65~w2(q!^$hD4zcxcATc7`9VMBQY<46#OO#qCIN`iVIm%-f>`5Yi_DNj zI_Lt^4JCn<8A>ci_yzy}hvq**ldrnW{@1D&K@crE!QwN5AaV_h0UO&c{aU9m)1AA6 zf1U|02LuF({?L#>V}hBpH6^v_q}1a$#R{yvg%K%=g|m)oa7}K45oud0JC*qI9B>qW zC1Inf4!3o8O{on~6lje|tyAab1`<>H+H~1_><-3(1Fv)-nw!DLk||!={QCKG@N(i*ygnv9mO4bPp7PmL=V=PAHN~n z;J^z#7Ya;f;`axQe`7#%`zqs{f6kH-Vp$j6OIxB!Gonet#^^__m%r(xa6o+}g)v55 z5%5z=`A*7jE_C+4l}@5GHUYgI285? zB@r{bX-XVn`YqtFzk8x6=p|>~p??QXX*t!8^&T;J4D;_NewgNJ!;?;+q=inx;v&t$ zs>jd5;=%$D1wi;Ltk29nDPE5e6f0c^cSGoe?^+O z_6Rz)@s0;=eRf785s!0S7qIyReN@1RcJZ04Kgf6@L~!W9m?s^lHDRvZnhkj5u=2x{ zp~jy+7lk_95!xTdFuVfMa!J()woW+lG{Ts(>kMQHd_Ai^%IETR=nAX}n~CP+N%|E1 z)cs;KO%lKg$M2+B7jX5Dv_>-UN%?0)F`dFGNK`oqB>GT2%M*`tpD-)SNCpg65vKtb zB?dlQ|AY@1;TZ$z;nfG}k+*Hc0gGCLM7!6BUWTmBco~xOWk~avAtk^=YBtbi7VAcq zzf55i_}LUT(A6dd}z3l6c?#B;#aYLi^j@(|tw zbJ$(&%pK1Y$~6HC-jL2Hp7xAj5xlG?@%JcgPleA~ooYr&6o$*s9V!8@TDX_J{&eTd zSu!%W3UM>Xja$6q5$2gzSdQ)&x14hYKotx>Okn0*XTyK!ynyNp$bq3>oCehPe+ayq zbNw0FFZ}{?FQ5bhgez?NX`PAova!z0fOcb1_T9O2EbWPQ3ye~Sq60w;WE{f+n(aQ_ zq%%Vng>TGh#lAR78Xu!*86Ashd*@s!N;&DOa-|i%ahhF{C=SOVR_>}LaqTcRro6J= z(3$9Ebvl!6fmNACXzuz!uz@GAPqhq1uM=vpP802fETA;W3d~gtZj8wb1iU~V7?L{? zKJS*JCVzQ>ycdWELrj1?sO|p{i2S@;iy0H~0`V`94u(JUD#c%NKN#f(a6kLB`xuW^ z?&4PE>Kv}f!s<}qF|2 zu$*B&ZZ{5Gli3*n;kMFT?h^L8weqECn#5ScfJLT>Y960a5w&DN+;XnD@X*3SJ{DaX zoK#zBDO7c0JQgfl`6kLj%UVnz!G+e9N)+?V-x*|-JJsxHlv+sSr0}tAOMJke506t} zS^k~Yk7wPNd3!={J}JtJoGxEn3|ySF@BjL+-5{L)L-;hf5c;+AF_LP5SJd9zlu7#( z<@tig-!H5e3$Ziq8qQWm*M-nu4$1xRZnuxg#eIFAj~4Pe{LgoebjCX0cA7k0z--}9 z!wJ*m0Ph&RW0LmqHjEdu#*>Z-JA+{4{lk&2;9Sa(!eCh$N>LgfO0i=WN2=4G2;gZ#<+h&Ml-clGUZuBp%u@*SAT>5cb%gbw5IQ!6F1f&&6BUIm4*!DRkSw zAixTiP%7eRBOyqNu+qbvl4M}?VXH`CO#B5HsZ=nu4Qbm5YVE!)B#@7g{v((W@u$>I zDAa*9IMe1^2>FxA!7~ULNG`)!DGa`tVHkam3>d*)heDK**F4z4UJcBYk)D!R!NKj$ zs|adGa0VX(pJpPG%QDsP$EOs6h^>JzOGvI}%779}OoWNU3hX9#6bZ7p)hZnv`M8KWKh-~JZ5-ha&dUM7IfsA7-)O#bw= znSH(Hx9jut*ZbyfM%>@$d1o!J!}o5kH9}!Mrqj>s`FcNndEt@W@Ae|wq~re5QHAs{ z@A@>}B;fpMtRh78H~++KHhah8$>}41p4h`pZ}#rm(~fw6FgA%ldV%$Dss3cquk@`q z(KM=z$s#3+XDLEI-gqeFPSbcRX8&fqr&0aUz!R^)eRs~&E3V4@bj|}wp^6Q8#zP4J z^cjyN0I<iP2jGYkVFud!kOC-@AAC}P9@PjCQ;s9?kXPvBuw*u|!d^Rpxhs+C9G zG@TCV&-AMxqb!D6C2ZJ#8m$2ioifh<2s5vO_yCdr3dyg6*gKwXP7eb}niC(Jmx9mt zzOJ{4`rm3jIX((E{{6YofTmo1iK?=4tHdww|8#NMiyq)}esvu1blQ3yaC12EeBRsq z{QlS2^U+n&a&yW_Fp!PKBeW4Vx!}$xzc8 zD?fwDA4Uc93zrXPCAsy>IlgseG>Fq9?LY88C`MB}I(*~4HCI)T9QXfQX1_xHbS2h3 zQ`&WV?FfX+C=ccCivvGpn}Ne&N5bMNtEW3im(;F{>NQB$v>!-U&IsxXzRz$S&LsNC z;1X$)oQw8%ZbD&y?X+g(9RvK_=cm)Q&i3ucoh5Yf zXP!2wqt#81HNWdi@x=}<(KfO6v#n#XhmEZT^5>1G?PGEOhY@ypR`o}=?81ul!I06; z+{>CbvsIpto`WivYnk^Nb9yT*=nT5YBPMu$wqQ$V6B)A}(s`3B6t#kiR|wyzR=X5dyhPiu^rS3QX8y=ZT zhce2rMh(Na_SFoPR)mG>^YLiH%wx^(Zd@-XTHwdq36;zcnaog$3}v(A-t#Ji_HFGAm18sp%p->9XN3~8~n{Kx2G^D*g^ zEhRL@-!&vox{cdV#B{OPjoc}2Oxca$$XTM&DQvwZnJrBfMxiK2Vss126ru1Ub)bCc zqqHe>5nFO-G=t=6DLj${V0%&m=MaNagtJ*sG_NN{%fyhw?E3)#7WyyrOwlV{;Q^9N@ZGi0rVz<7blb*nhHUB{Ym%RS8_G==w zPwD?+?5(5XirH^r+}(;h6nFRHPATs0?yfEF?xlEfcXxLw6n7uAXo2t0_r1Tn*0=7u z>;5q$v-3QApCl(?=E>xQ1^&GUfChm22chDW;t$9LU=aRm2N}n=i!)fc9jbJw`*%U0 zY5w1!SxQ{_#9AooUZ5hm^bu)>7;A6*&p?yI|AXF}4TROO^_7YmX9aga?*5-j5kD>C zgW;zR2B4R6a&UZWNcB^JPEu4s6w*XvmIM0eupDTi@U-{N!2A`AiZd|&1%o+A1<_Y~ z2RN0XdCLU)sxEOr&I3pHvNzaMOJ=8tpNQ$5vVszz{P5wOQvIDW9-!ROAwPt;ntSONB>l0F__m^T!A}o$BZf>t*05Pit38NLsI{;7EqA`R5+Rc zsk~o!!9SIyca^1olr{jR#rZ$VMu2kuJ{T2PiyA5a)ZzM{Hf_Uv`lq4|sATy4Q(=5> zpYE-%Kz&jN`4cB|MU4bEj#!T>DZGnH%F+jCdNWP+`BALwc!2Itf5B*<; zP=U~%RF3QLDgX3<`vo*H_D>JEK|LaYu?pzP0(!rIp$h1|H?u1+Qc3lj0KKWMe>6IP zUWw5^y-MId-69Jo3Z7vlj`-kt`9N@E(f*4u!lL?xYkfXt)7^pfI)ML2$ZPXsq+{oq zffw@6odCXlQj~{w_}}^2;ks<&fcv5e zD2u}!sI8dpiAnJO>hbNC`4PP>C#FR|#=iL%|F~fybCfH2@3(4h{%K8c)ui}Sv%D*s zUlNDPRZ+HaPu1KYI9!DfoGANkM>~oElmgvRpdT_4A8tD9qV|^u6E`JDo18 z^eP_oZod*VN5#}d{aGH&1d5uR+FJw;LpBH3mj{VXc2-VB*S;@~edjcp#$RKv)*m}x z*#V>eH2u5Qf)SLV5w(3+p?YU4d}kZybC4p`DmZ`n=~un4ED`Sn(JLKJv&~7glRO@a z#X}>t{jY`$^4u>HC@vx08o?`^cEKYhND2K|+Zrnwg7XIAQ~KY`+!eB5uW8ZuqUFJ&EXwufse^sTpm-^;Rm-ZZ*gpvs1rr`Z#tg(LXa8DL^q z{=6-q=1Rg^58L#?VBglauT)xIcRl~rUhs%{{9B%%jlHhN`8q8zbQ|K6^(4HPwUlnOOcJuNfIuX0JNFOpBjU*E+1_j}U>=npL&t_-{IQtpN}&wy`p5TtiR@tsEoKoSAOmIFY<-+80~#2-K=*a3v^okueKQuY{M51v7ti; zsx;yp_UBLIzsovz8n$?b58w8b(t<|M9K~nhe{vj{I6!$v<$_m8Trei^ms|(Vj)u_L zvup@+E5qXe%h`XH-p+s}^{@qf@QLyg$p^qv-5s!;04&D|bI+ff3ODho-Ori}SODqA zaX>mi7LYa=_HUOcHtF6LonNW2Jxt9KO$aXfanl8;%xy`JCPOvDf6_yFcmp z#;_u`&w5Q3x8eLaYZ^&H`1NBcg%BP(#VlJQMIvoGfUx}q5J3QOP6iN*cbhu^IYt9) z;s68_Klaa!%_n1@^_RpFZ;Yv6I(iPE0%d{hYUa>?dE#}&6D(?pm_;1yI7?;-^F`+|16y*?W(nzc{|D5%`^TO!gQ#k z(#5;`!2+*)#?05IkNfa>hhg(Wo3Dey{ei7Pw%N02+Ii*ZM)qk`y{^HLb0_Cw7Q3HQ z>M^2TaWl2I%Xj9fYu~(y8%fFo2Eh7JG;P09Mn7d&`0wq__~ts!Q&$AHLpR;J9MU*R zZjYln6i$ABX3vgPuv(DWp=?ZPn!-awZ2hwH5`1}T*kVYL;sesaY3z_ys=@=UN*-qW zA@V7fT+U_dL>+(Gp8_*X|Lc@f@n)FD1sSEtRKD$c@@jtkx;EQBrCo%apSTxaJ_>ef zpM0CH?f^Z^id13dqS3hK?@Y<%+=|6p>U;-l9TVpU&2T- z#2yFArH<5bfpP)Sn(zNDj{$Uu$uw8A-A5ED$ZNulLnPqTTT)+YlfvN$jWrLi(X4pC z<%o(KqV;>Hg-MT-o)$NPc88qttg<{tJ&RMenFVtoRFOB({rsqhlZ)`~5xq>Zxo!d=? zLQrGu^vy9ML;*HU@5-bLH;Q_Xxt52nWuT8bc)aRiRzF$-^DIr15MI~VNTtV2<1Y{M zP(J*P97F6YV{OgoW*xo%w+|+>P!Ci%Qh!r5mm3@a=BB9HBhhD%6<6Z$XKmb(?(w0A z7roH_yV76l?0(Fq66e^hE{wHKaYpT@ZKT>BdY3t|t8NBUbAQNWJ@h_69abTB#W}Tu z#%bGM?;YBgifzQ79K>IjebN04njF3lc)GkPxhFAreb{cl z@1GWW*)6$uczrn@FEQx!Nr&owSPi=mxDQx)sd(FG&h@_;_vi*fU%4;4!mn4S4jbLP zf0|*}Hec?}!{RQwA8)sZNj3!D?zrC`S2MOQec)8pEZQZUv;264ilncT*+x~$D3wZ+ z1kBR*XcZDm8f3oLDP3cEKpIC57vjBu%!9DvWY}K2AD(uHtKXdDrG@=&ayMW89G*QrY*M>E?ndao=z>OZ>}w5bh|FSKF$xL3jZyYv-$r=fkxlX+1BF%-ttcOb?%uR z(%@~EHa4H0*$)G$d$DDpX7ng7?^f244&jV{h57GJGD4g>{NO$1xuWU(dYcAW7M{cQ z`fwcix7^whP9uW zzp|R&Bx+~#V3RyI`)>c9j{Ok2|K4qW(S0gR?pChM9e%q`u2krR?(u8KGig`4!G2Le zQsiRHf9|?UAggf=1*G`$O5aq-5g$Lq>V`&(JW`7ZJ-4;@s_ee0S?h%>a zAgjcyPctbs000kL2Es-8HTx&4gWv1YGj)N0(9XDX&JloO7^RkrzlW7@Z|qwoT}w+C zei1X>B~zLNv|=~{p(4EJaks!IL8{SZ=EUe1Gox$3hwU!SY*bFrOe>{hS>+v7_%Dh* z`p?o}i2?|K0Tfy>|21Izk3l)5Nw+N9-TyH;1x#4+-b_}HQUj$3og{L7c~6$ zy1ARSZ<;Lm1_X>`-qQA`G~o{od$9io`u=A> zAlnppbaq^>e%JG9Np9EcCF?YucBZPFa>KL49%g9~QaM$s)MoSj{k`2P-Q~)Je#q51 z`PQo1eE4Kt|NOd&`Wh{HlHJ~tQTptJr$>P0#qYf!t27w>yM@6X1|M1EY8gle`qM_M zEwe|xgvLhl(9EsYNfX*FqB1d;T&m{ptBp9pAGm`Lb_2AW(*sEcLU?@%L7Xh*9aeYa zVxd$#pZcoFx7H$eThD{ZY-?>>`2Eh-4G^*i?Ke;C;Obr!R?!NdG`ZG%34^mq5D(Y4 znS6Kz2%p|O0`_?9Fp-+oIKHvZb({*Z)c4#}k(2t7HJ&+$Fls5t8AvkPv)fBER-37| zocGW=+UJz6h3=tEX=a=_@)Uk=Xw{n`vrLe5yp!HFj^4^n?TS_}qtu4iBCs|ynCnYZ zM%c2cH4k6kt9gs>r@y9V7q1u3K6e}`3#udnt^ab)=3<8!!x?R!--W}s%%^{74I9Tc!$uWwDI$W|xd@$h1)>*~8?6Kr& zZung%LVq-uo}VX8*6?s3ULNK`q-dA|cZBq5 z4eabSd79ko`SFJ9Jy|dOoG~_diB82Pbgj`dJzK%#YlJlD;0~6OJN1R%GAJi==G8~S zx}np#0kVQ8)i_ctkF|}qo9g};x97W`%=d2stU4?ncd z9B$PR!kU0SYEyU}IEcJgIs31ji^rLUG`AHD>w;YQOcW~wSSW!U>rxHL3|$ef&ay|C zX9yN1z2%xjx4L7i=C}kMI`nF){>E2qPni06nrXa z*IJnRg#PBzLr2Du=-LUAycRQUExS*tKAb$C<|0E}@FzVpa)zu{T2|DJvTJemP@TW} z?J)kV6fffoO^1b^zySsdRcgQ#P84X}pwx&uQ^=m!9-}sF(g}hImws)_5rI}bMVD8E zyViH>>Z;bu7QbIMkdg8NJVgEwl0zs7&mjyU^We|3xGIZHRK;7hV}R%&Dnbb@^f@?Y zbVEAHG76m7q7dsdSZEw);1wVA!i1voIQO};w434_hr>~@*J@K-8L0)_PHC*nZ+z;@ zTTK?d&i1iW?}FrLMfpf*7n6gaSdlhg$`ZqjVAk~QAvS%eHX}CT^h?Rik<}(twaD{R zu1d;G5~an1N=f_t1J1i#6kbSzj_ihOxW}yT$R4Wy68FC zScnHptYxy0E~j}&BfD-$`+>J+4G=Bn47=?y*7ozi)rG!?3)l-LgL_4*Rkb;whCOqs zR<$7sh~NuPlOgqaFccNu?W-O#taGdBG~Vk-6srz1*b!!Y$*Eg#C)q{JeF*>>Ge26T z_96ZcKlsHy3u98ZsuV-xJxJ+I&M%oW3d%n&FjLv`xZF3`c9|w~Exmu*zNA zbWShMgCEh$#Da**j4>}Z9~Bm1tX+c2dUA9emqBpF*_4zz7`Q&SxY~lrVIv z93F+{rxFEjk~8qx3UW&-(YYl;3NyLi5gEslNkf8$#R)JWjFndQ8x2LAA}hvt!lE6& z9z8c+9xowiCf!%1uIr`<9=nS3pKqQ%r>y7xibR+h)*St~??eyDB7#d1viR-dK^?#k zL)`8U|K$C43(%*hLt`~oP)_`yvX-l1Uhi$H0;bBq#>sj-aUL_xF{m_nTxK=}i8s#t zF)*UHv!k%=y0ISna|-&t)-xRDKq?)?&^Fu8nNPEhd=03&q_VUU7Jmg?a%Y+y=;(Mg zUk*>ds}@%=b9p<{eOFEJdu}n8y-*Fm@LnnGYrC;0go%b3CawQ(uZ6SH@(IG0l|fjXx(&yJLw;g{?JTV#tR%1h>vKM zA}C<)rLsY?CeZ4)3`V>4vo@aiqq0_AlAGVp2)0R>FyPn#g#lh}9@Yyax~=TmAAEA4 zQzpW7t4eF4(xa(OPyRU%Kd@qSQMwhHSRw9mSfmThlhU$u9-+FE%pJ z>fsULw4rc%hFRMQG$O`3D1Yh)J7Cs>2(6B{SXl}Ny_F~aPI`lP@XmF$_dF_mX3VSg zWNieCC9>g=kREF5$E}!!LA#-s8Ct|iz!lHb%>Ii|7E0fsDWHRiR>V@=+rH&)*$Ri?H}5-)DOrXZVk0_{$dNTB6iWmo-_| zv)MfV^1=Y0vY;S+Ba{?D^&|ur+zN;dba{!U`0-1r4n6+xS)jbE<4Eh9HCr`|?j)E- zDVW8xeGPww+A5EAJe(sd!S&!F%N}gc3ZYXflJmy4-D>O;c8ithGHeuv~>1IHBERal=lxs^1XXZ zC{MxrrE!oiH}sfRResWug`9xLwU)vnsi-jY^ov`jd6TP7>b5-*>bAiOw7H`4|WW=|FI=lU-n zs_B?bl_qI>x*iidvZaB-rJANM2(Ly?{(h<_P=xg4b~#=@+?s>js`X|kRn+J_?o-cM zjPqLE5HwXP&GruLU}0;xwwsKC{busVT;yS_j2p6#6$=&4h@ng3o5>jwTHlt>SKur? zJ5-jHiY4@cwNAwA&#Dq_yh`kHOp}N4y52pzr03xMtoVqI35|cMvgVs4R#@Q_awHwL zohI%Ax8q}=J)1f-sInm%*wgHVVbeCI!7hYhd)PY|TDaRZtZ+&-gHD>hoHH=g=Fgqy zl`9>ch#z6K|Bj;D+wAHiB+VuVe;jo_63o zCkh~UG*YEtzaeIc7Hp9AvBuqj8E6roU&VMe4BwAkAK5~;v@~KHB5$l*8#>vHf5q>p zk92dg!L~u((BiG%N?~`XU*m)zN;(X@O`)0w-|kDDNeAv8`R{@~8mR(4z9!daSDkfx zygITpo^tTq__pReS}fHc!+vg~$ia7bU>Nr@u2G-2J-hr8ayOvu(5#1A?k8Hp@osUn zBg0v%7$Gi$y=QYs!1gL_>6eFW&R#5+)9-xZlCtAn7zqstbEZk`Eeg~8ybf=y6b3s< zYwZ2AKZ=cF!PH^Q6$Y7svNtV4dk`-&(v-8z(vYAal61WV2b(tb&rG=`DFg7l&Nx}Gs-cAkfs$dD0>tyt{D|@gR(JF;xPu ztRuOhU^o@tio^w~;ZkbIU9pERR1wk)NlXK(@^~rS?&(7~u}VGBc&5?lelB*{p5GQ+ z|L|H0l%dc!JQjwa!ojf2us}YHO=16WlK=rLTQT!FXU^n}47LIV*`+(oo&>R)f23G= z!u)Rleg_Ij`Ztlb^omK|x_OX`ySnmDK_qcm*(9iL-LIYA9pq*yn;KfKd6gwWs~#T- z&Fw()`#R6Ayo{-iscmeV&ey9c=D}rILV&;i<9UK0tkiZE%C+B8t}b&}IVXt4>Ug$~ zcKp16h?+@Io5!xzuK{v+>%1^Fq{;S1Xc6b!Z}&B|U{QR3Wy*SE=tR@8TrZ_DjQkY$ zqUxlkB#3|2($D6(g44*$eMbGaOVi!GSuL}NmU{D~m`^osoAoJ*7R@=W&hxXMi$;n^ zdziJsfl|a&QOSGa zSbo-Jd#9BmOcgm%uR&>ljtn2eJ{@~2xOCg9lAp(A=f?L= zVjX#Hy{haY>%}C0M8M}QM4U`ANp3YCcVhYARuu&MbsRE(M{1K7pkW+d1 ztXtpq!=>fE=B*Ps?$dj;+tC~!o#2&y`08!=m>>Cr7>J;HV5Z{iwz#wnhjS|qk5$I) zO6mOqT}bijPdZj+kaE+Vr&`a$4c^PscuhSE69Pi$8B~XIj_kmlFG8BA9uI3 z7)3ZJTb1G;7i9S}Ai7Fc0g7yjQaLZ+FIJCLXW!50G@JAp<-=c7C~gp3GlE#?54p*e z?ig>PqF;=$1bJU?b5;7sZg-J5EFes?6NCK!l)ovLa!9xtxL{dszW57^I&9}XzZ8F~ z$#~ms?&jxE_Ni9)@3!YY_3QTZVDG&6U~S%cp!0Y~MkJumC>K7$wnNaISM*=Hq}=Qyk39=gSuLweE{G>vX;bItzo~5c zq&m|3-ShM*C_^z%u;>3x`ST*q_}pc{T<9&vDR>De;ucfxW-YOTo zKHQm~ue_%)R90SXH~~S*?ON3MJ+uwqiSh80N3)%ZDQ8JpLnxA`HiM}dNImN3W;a|N z8*&}8t$NX?rl83ajuEb!6{dG!srVhZvx+Zj)}lEw&^SO&{1(n7eWCY>LR`El$RlWi zz$%Civ4Xn~y3B9O?FYgrn|M1yTB;R+p*<5$S}|LuZ}FN|tub>@Q~y zSQ(nbHTN5wU41*$2sPu#@$$C@QgF^lSB?<{UhXZ`TCQRJSLq^bM+vZ1x7jj?nKH|M zhs~JQmsiJSx2EOuIh1Y)mI}=-cb>I-pW69gL|z?n$Nhe>*3~di@MzY?od>cgbFi1_ zgVo*P-CYwPmJ!J_wvpTsT8B^&ZT6<81zLlRL0J_RS)g6iJVWI%<9KdHjnmTKAjz!b z5fG$=$q1)(pIR5-QV5W&V9L$R7GRZIAmPnuR?~7+MAs36w=}NE^WEIo-1Nwk4Vp3* z>v<@HJ9@e|{5=!9C`StEMU7Jf#AlNTHMKzZF^NQ}5zE_6y+u&BEI4CAekdf*j?_iU zpgYDx;xNwSz>kt;HQ$DaDdAfAf8`_=CmTzDr^0Q`EmAD2Q8bPTC7W#HUJY$t-6LHO z%Bke4quL22i1QLbDL{`bX>YGV&dd6Ru&CJ~fS%XEzh;IS7AE%g28tsrq^$=ReU$wS zVf@JVIk-kw0<0Bzv;rexf{wo-%$kpZ46A}BIn-0d4~MWGf{;Bm2`6mk3)ci^TLDpq zgKbqQ^vr~&^>H@eXWw9JE3A(WYF_hajT?zHg<73dr1GVMdyIjZLtmn1b?zcRnO@`c zn3L%adLbHi)tU=sF)(WcUJgNgFdF?x=?gwdiI8(F zA*i+3NF_b>8)GLJKL9!B~n%`N(*X`k$obU1yANvha)VGjJO|IRKwM+==JAm zc^<6mcza7Smt0fANh#0agj=eHqWV$UeEu`gcZh9UvldSX#OWcNA)g*Dm%8%eml2Y> zZ$wz75@ysRZo=Es)BuIFO$RYzr@0TRH%#I0p)-J&6~UaBD2@67>3fl~T=T^6nVQ_6 zpa4pX0%y!l!`D3!Tz_y+)lH{u@HUWTi0x$v~8hvQ=rbNw|LTKWU-gV)R163ph>9|jtAHXg z>OZz7Zr5mti$?eQs?33ebRK4Q`VCqs`(;r!$n?xdFL9Qnr?$EKT22~fT8}?! z3!y2uY-dH97CZU1_p7SP!o;lQiph;o%~B8li0KHJYL!P0!^EqR$AirGK?Nhkb!@m< z%;0S3Mb6xGhj#iKW@hD4RXFj1Uj`vE4kgyz-7D&(_8Yfw;v;yz=p4pLfTqk(Fh<3! z;{z!MA-s;#TGpiW+t>%^gXIT2QJ(wuM?b=HIAF$&iise|gFCEgQ4Fzg@0{89ewhKBF-3 zIu?t|yQ7hOp66{12^}RDSTPs4<`z**;ivE+zm3ONn(!NDZMR;6o61zv*lJkE8Lpvr ze8iWScHh0J$%=I|xZY6gRnvKU zwp)#Be~FLia8tSa1$OrVv2dJp-6HK%CTyDY9w}oelqnPW$XJTZ9g`AQ89M|LDQ0b( zp8g)Fd*VeISw_&s4y?4C+|bn}WsjSMOAX&}l-bZ0%qt3-p>q(-=s!t7L_>oO9SjX` z&Qo|lHTt?!w7n^xY+FHGC0V_}V!N^(`PjAR&(q21gz#F=>fpjbIJj}OHR)2`aS;*= z?H&i?j2Fv`jwv@46vPoahRoKuz)+?@^kk$mINagJ}a!~y{bmozsxu_w^h)%iVTLwjOs<;sT;bi8!SHXj`RF|Z6eTeir6aHoO?BJhxPdg9Tu18brAen?1T}_i z*4PiK*^K^u@X{;gy;>noLkPk+0i4^As^^Q#=q$~eJoRT?ZYg5-0eXC_LnNOSy*YWn zjbb>N)~Aq~?IMR@b3Fxiut6t+fK2rJPK^&T34HDfrW7P}q-&l|^;vL>Imqx7?lizN* za6PjAWt!XvJECt@bIs)&L z0ySG-wzrQ*{irvvg?r^k47t`0gMa!Jg8R0l?bbcekRQ}mG|y%cNTo+)yPoF3Et@i= zgY-w+K_>L+YWV3oI+&LOnk>V6>4HK+O?JB4$2)TI7SMs1KNPhu!orlid4S6{W$ z1Hp%aTW2RH0Unz5?Le+3k*;v%#g#p-hr!@htNvy^%VU^;%BX|K~LgDtIQ7|`C zFSOeC!!HIX^E-8=An(=RW|*`6WYBi0R^#8qT;$T#$kRbRo&P?x5Vx;Fe?fzaT zGmMiSh%Ko-&wVaE!8yFX(vTP~uB~6%+*96nSq69cl=Bl<*+#I;6yu4T5kc!Rvkbf% z40c}*IYK3@^cghdG+P%(svSc)&*LLv%*Hh%59TpkjfO303ve(YMv`=9NS+ELV3Tl# zHIPrAAKupAtykON@M&blWF4$Df+)sCJQ(`Mvxw}Y(_hiYQvLOw9tE$~3c6;vd?xY) zODaiSq^*qH(u_he=M2;pW5R71S9?&O(tb?k=}+f+u^^><4z?>_k}3BC5=2D%9nYXu zc2X{B9i`=*5^^0io!VCHxxCCEH9hx4wl~H^s7;LpXCfwoq0NK^M_vPsm+(6ZNcg^y zu$VUXJv6(&Gz2Bj{-vw#>SC`xky-(V+nS2h53dqTF-wFVi)mgO)|UgL!Y?p}C7LK6 z_|&$;*0WSA{lvvQT2M|>4tGSTOplT`2j1Xa5aEN9A6gX^U6eMp6*RB+LtlH)kcE}s zbDz)-$OB}Mf zTPY}O&67N^Dmxn5<#)b---uD>5Ze#V+RsBQ#oK}0t_6GaLGYg?$wA!eHWD6MT{x?d zWl!3M)W+Wl3=&dK_>^{Ka?D7vbS0S=6^@FQD$nKRS_LQ z<)7uMa1kXN!PtmxShjAi^V~&~)U|n29n(*)f5jk3OQoyfnqz~Tf0Lu)Xokjzh5fOo zk&mkY!%0Vt9+3+J6-)e~FC%==A(kCI#$)2nF?ap`&B3i*P@V_#>Y>u9c$+Wp8`4+x z@35)kw3W-A0dzZ?sQb^z6(|$LPOf0nmpVjgMt1V9o_0ymyM`q$~bwL+(r#$ zSXko&qqFOhwXP~H+_I4~1XQ27Ggs~9+rayUQ?0qmHUmEe5Vh*CV%P73#Pf_PvcC5E zV{q}k+tYXPD_}PFbBq? zo7Wr^`)r}JO|HUTgHAux*>z)2g%E0bAoox(FZKA|@Vz1nIC?bnP2Sk}aDN`{MK1AL zhbGFrD9TKy`Rq@nS*^=jc=_LC%<_83pFHvgodYWsqjC(X^TP;G55;LMO}xWFoKYOz zh-Tvl;EP^Elha=rw^?nsk+O<1l=~H6p(UU?9W7mw zdh45p_($0g*mTt-8f#z<0?z|(*3<3ma6-@>s@Y@B=J{QJfO9%^uEHR4b1Q+PXht}` zy&xN=Q$KFCJH8=xv@k)UnCqr?VPDqOdGlTqtnM{kL%vp6ofY)wX}Vx_V?vbTmIrkY zp5?+1BI+igk;fGK+lH7EbV%%TKj54&Eqx|aO;lot*WvE9ZBZ>xQjlI|+}&iEoAPqQ zm+dD*Fj!ZC*~Xlp{DdWY7k%vYLlEqcBLl@9nKi#;6ey(KjRL|Ne>@V{jCjw#Ax#@* zl_6Vjx>Xfqv)5`&HY?6GbMB-DRXH%y$IB>xGME@L>*i8BG!PMNC)zjj;#6y>80AEO z>=$2LH8{%2j+~VAF+Wp>|MBn>r$_0}1AA2Kfth*EEO16}um`Wg1qa_Z1=c5p3Y>Mi z590>p9e0&0AFZy_#G^esY^VJwI~^T@;c1*0(g|RPR6mRpp_n~hvdC|9r4JRgY1-SQ z2i8d<9FXa<=$}LMC4Z5>LO@%S=JRTxY6-lRNQS8MuehpVZI}2priN}fl)gq2>&l{F zKhx=2JJIcg0=pC^vD3sm0H(+BVLa4B31xL&FLhn8wHvbl%9ec+t~fpe#;7c7)11sR z3OBmSKMGj(C(k*dQz-nyab=ug zkDLRMK6dB_TG4e)bFp?NftjkaPpLvUlRm~%V0|)ez>>+Ho+1gs6 z4|Hgzq?=-jIo4)=Vow&c#;8Z06fJvbgJ;t&v5d7n8uaPaNVx_+O4ha73H@ko87;;( zye*%REy9K8ssz*bBhprOM9WeKBZ}iJ{eARvhGOF6CJ^4!ogrOI|1fO$$%#HakVon( zuRo;_=QbQWI_%K!*Uy(6a##TAV6hl%zjNspC-ov29l>}vH=nUlFg%7c1MG5mRxuxG zz%FUZN#I{Q6hYp6sIDhj;H%|Vo#7lhC>cXMiGu!){B0@gGnb%TjOaLJ6s)R+qsqOzYYCLSUedHtcY9nJI@h~ zOQi>H0DZi3Ugb#q+j6ASy!uR;*${1*?Txk4T;_g^*ht;V(4Hs(oFW=K@!|r6dY*H9 zKBnqGPoxHEY09vu3L2arE5x7di;&@rXgOS>B}ISi5OyVe?&4Kl$rFSU3<|blqu%D zp!DFTY^KlQ0ciCOlrawFdf0(nVMq7;G0pfy%QQ2ny z0Ea36ywfM&1$hkQwvBt&es;0;LJf6XjX>IZVvkCyWU z1453uIcE^0OPpq&ZNbYkrYs9=gjt_IXDdn$nsi=$I@$!4Jpo}l=D_uIjJxGIpLsa1 zKSMmM6g7%gt{tZN8oVia*``p#%HKFbv)bw3U)sLzhhy|a^AgrS&zQNfq*$|+mYw)4 zAUoc=wzVR4s78AU+aeZkf0{3$+agwjJaT7PB&-wjANdYZmN&D)U{Dn75fH#aOq`dl zvg``^;DY^$`z6@ITpO~yfs?FKUxc9}vQ@pV?V%70?URYqx1c>ivw0HUu@2fg?QwJw zmS`?=1eMrj8+Qq<1&ClvhFu4Fv`;)8kxsc4mmIXv$z;a+(+wXzm9|9wkfj=+&ct#f zQp3QPp~0_Zikdmge-3D|8kSG7Yv;T?J*{amhOn>W z*~)IVyP`v?iUgZNKY?95#l%sJ-YAU=saPPAwEhI6Ig3mInN5aMce?>+EX~ljwKELE zoufnwZWM0TbsHP8Q5hRz3por$D~$6VstidO99vb>GiwV`65EixfR#d|861&qBK>%z zut^Rvf?{F_1HNKe4p)m46Sxonjc<=Gd@@1DGglte1T!!P=$mGLrZx^* zg~`RQ>CvR&MiEjYPu4LX=Ti<}Up4|Sj|y=v`0(Xpa>UWP>-D)ShP`oe#KXGe;a^1> z@a}T*AJI;)z&3ePTF8(1!%WAvQg9x?;%%KDESsYyY=d9qrdBogsZhPg$W1g)AT zZ7q41({;X<49m<{n9rM6VsJcud^UnOD=Zaf%sB9h zdpdGM^!HEayvO$l6_*wEWoaj6VFYRkaP+ye}L zE54Pg*;iQzGyeE?tm=a9x>zom7G7Yd{nZX!A_~TNoXrezS(_n#9@}L#5NfSF60EMI z&}Z@v1(BsE*BG4tOcdv9saf^bZ)4&MJw}j{-_W_Opc)0->XOE>2TW5`g2q_N~%)P*AYp<4@6O}|SBs(*fcJKvvIVEr+ne}ZBfPWyM*A;7~@ zm2@=rK&#WD+o|r1#?ZUA;!0Hj%PSQ-u6_90C{2*2!UznHO-ej|x718RO-)Jb>PPyh zrU-SulZ3vi7H6_nWSyezs5nDay&<<5@LNLstzu}cB54ZANwTH#^faJk|pP#w}s?xZNQSr^0Uknqw*kv|timV_TCxy}o zXqfw8uioaxjgOD0t^0>n|7Dk{PpYloN+Q$?tB1s2CNthRW+QJG(?_sX->mYmkIxxh zjVs?cW&jNLDAzSMv5Oe^n{dCIfbGih7M2LaN}9E_hu4 zdC>xMSHRl~3Eu}FUynEHx4|#|cA<8b=EN&_lcwVAeH))A!@X}rf-(Mt+Dk4IASBmt ztFq^B2qgYcw1K*le2P%|W=_c4%sr1O?Zcjcb%s%!momS$xe>l7f5V&HiC3OM9`hB? zz_>vaS$5VEBF1s@Tc%MaJV!q#re&xN^-T1veEuvHer=`Ox!>**|_Z&PjCo(5`-=)oWUm%dx>$_b3R^0Hw^e)A?ArC-oK0 zO;-6?-D_7iKF8LZL#yg^GHpbt6CGCO?KnqtTNs7i|7h`_pw4FoCBxJaWE7Ir1$k_s zRtpGSgh`V~w2*uz3G&BE^iSUQ7xCjz^*zr_y)8hvhdiN}iIbg+<6A?mh}(B+xba^| z^gru+>3RC`T$^-T01sI7x6`?9#7WM@ajl{Lj1v*omJz;tXW7FObi5Ca4SYzLf5A22 zrfw&LCkf%KfL&0Q-tjhyJvIGHL+R8B<{pFqe=BB+YooiFbX5>P@TU*|7v#%-(wk@` z(h$m;4@*77C*hQJAC^YGC%Mg5*~v&%Q`S;*TEn(np*Z36>=i(NZZd zo<}3Neal04en?HM(bn#_{z;M8qJ6S^lI}6SPo{GdD-B^&idxY^kvO7#LUFo)-bPFo zQBfpRw-YN(Zc`dpVIqZKtx#>FGl%YHI!KftzVwAet0eaGc#_^KP@hk`wFiyA@iMG= z>iF5*TnY*11i#Kb%Eg0V&7weY>P=^_mS*Me8DfYoS@&Nrvtw<-_$yPRHxqu=A4DO! z_tHb4AO`Sl27Eg_a%GL0*Co%5`b)mF_$gCtbX#6N(NkiNCU(GN*KjkJw#fJ?q-O84 znvOnx7HQi2c(-H!s|L=-d)%&ANx*5%2jg z^+hrB120FGZJ2)PC9EfecV_fiu}ph9|0tY@pOWFPFC6{c6JM-0gtn2;A4#^RDbie+ z=J<_qz4${2USH7Ibz2R|-6&)I8BB|P7w)nNZe?qv4)#IYaV87V#Q(?ETSv9^1P#N% z0|d9A1%d=E?h@P~!M(Usq?7_hf=h9C_d?MY3dP->7D_3U;!qq~DDbAg=Y7xf{qdcX zJ-a(IcXoH~%-pr?g8K(jYs^7JX?p9*BQwIYGd5AV}{exi?YLQB|4 zjEQQH+1E1j8$F-bPQCQKEsvIDRtt~}n$OczU@I(O*+-km*RdIYs23CPRjr%bE0fTd zhr}Kb#2kbsf=a?I;m#~_T}{|mVUs%vER~{+l>@>0b|2hXn7W#xE>oAISxQA2OHnWu zd>0n9t~s2`rYDzvDT5c-iU}~;;ZTi+Vc}AsP2#Rym@CUBozGT2O*oX(|AR~#NJj4I z2i)_~7h+*DW!ke7Ei++&Tacwn~rBXisn<)F+@@wf4o%2$*} zSof!0F7EW1nz0ew7a892xqsBfnWh3O@{=99b+uImpK-94=QN~~&951aV|e+j>e-~Q zT0}Bw3=t%9=MYdg|1?iw(r8Xax`iBN=+2~Z*El|vn~D;=x0?+yzHORqeWhKoi!l7(;2cseXIQoy zyLG4}Y+8sX6o5!bR6!)fsUXw`lItiJ%g;@`^+yH8I3rlz@(D|6Xyf@Sv-@C@<8lBM z47a?J!}#okWpGfL%=3<9?s7(uiSEpLjS|6IHQom{2gv_OJY3@Zr8N>+0@>rq)bhsuv&~H9=7v;`y~Hv{1Ff?7{t4Z^6x(ySj*Yhz2Q96!(|_ zb@O6i0Ysz1ERkEyqlsI~gSr{}Zhnw-eEx*j7SZK6>j6YX&t^}~P!a03&KcSU*Drw)Nnxce#v=$6&EhEXC-6zoc6U^j{9_J;yrmSDCUqiM>M`w#9HMh z8?N^OxAWBLq~&M!v7_gt$Q&6%LQrOY%ROj%g)N{z?_NrSa5Wek$DK@ibE>?MmEB!7 zJnaMZgF4Z(u{aVjr@UF9N9h%}xbq!#eyi4Hgt%8gX8wEB)2oDF$CwE_5&z8m)_Xbz zkzm8TAwMCfz_}NgJ`QJOKXSRp@MGh}G%EG8a4%-aXqL7d)gl1wd2$PB9$V5H)55Q@ zcXLTrHw4}|j;%P%lxF+CBU#NTWJMFCdwMUhy#M~GI!<>)`Id2}3{B?GG|xp+wq1~sUQu0HBSnWe8{mhHc z{%kt-l*YKu4ESZ`{+}{acbem0?z28ucby@PB4vY;gADBpleg!*)N@7qO3M9x7M{7t z-1tgBVF(GR6=FbdtQerQUyM{5D<&pZk_!dXzKO%k5Gul|`}0VU1WNkTpH_kL_FC$H zWg^|SZwA;k{`2;&d}nw1R|_qi?@0ulnx4vZKW-kLeDC>l+u75-c7MBl=+P7Or{m3s zr%#DwAFlKscHD0+Lb~p*dVFOcKR5J@w|sweeY|MvA*RkP9Zm1NJ>M;3sS@SD!h5xl z%en12$amIx{3lwzN4(^Fe$98l@Kz^wxe@k{QAD=S9P+f<;@tcM;9mf;{p=>^^ufwS zr1w*zM-se6^E-EyKe03Z)7^K{iGg=KEK;04Dp3M%D(6xUNcyCQtpsh9W9?67T}UqH zQT^-ys?Cp+02TZeejcgKDbJpv&HlEF#nv0!(q>Z!xHCP;#<>8DuTw0XH&yDRA(fyM8| z)lMdgE${tSQp1?+EU{t7WgMnr&3PvP+WP*dj1R=W#nmp@4JXVGP-6mNe;wnqTl)cY zEew>>?`Jko;q4<~6E}eQ#VzIH=rrpe>_otCyZMJ1i$(DSmHVdkvTVqSTQ0&RB^_Zb zhgG16`i~EA;)UffNp}Lj84@OYh0FkGkz@79G(VmVwwb46BU;1=#`DpXF_OwfGSWh@ zIQ1yF8E@4SRJ_wKFQ-|6|7%e^xnMYe=Ob4%L_;Fbs#S9pQLyAm&h}B8QCA01C_l+x zQ{YLQ_A5+spOBnNrR58Du0tbTWX&8YDZ`X}4|poMB9Oysek}U9CNkTbYK=qGgrtJa zzI!lESykrA>?qXqYfyf66D5g5ol2tWa3Qi&(^bFR2BUd|7#3NGbQsyaeTfeI($EoishU|5(4)F=!VhnPu(g;okd7q%8x3WruC82G@EJ3#Q;E^SVo( zFgcsq(-DZ-EBJJq&9@mX?yo29>CN#dZLv4Vn*G^&QBjN5%iq5aWrM`Cs~$;nSm3dF zc#QhW8xdyS&iS(g{m<^xl*812?{xMcxk4ZAHnSd+qOX(g6&x{>_ISvTvwkl1zgvDs z@yiv*bhGfxHL@Ti#vZ?`Rs~T|%Wj=ft72X7KVcJD`?_bBD2>A~@b}randn~k!_Go< zPglsr^+3|2#GNHrSi7uE)LqtZzhz23vecGjol#?$D~fxYmd=E8(aWx8t~Z4>%>qWb z?%SKpdPP_LM$;^7bXUNRXNL87BKdy%>ALXPd3Lk16Rqu2up0UOwu)@BhQOP8Ji3QXGu4#xX-4u3Q>;i6 zED`e5KT-*$&1Hr2cCs_l8x|9+rsrSH4jf z%ikDl-OAE6TptZTvfN3n41kdu9`*yqO^(23=_m<5h4x(UNDkMaVK+6_FilruIvRMw zlf7a|a@{$Tk3?Aw0MEfze*`Pg3Gs^Tp@=WkHrfnCeQA|DxZKVians3_&r}?NX-|XU z{lOr{!@yt+?cZ+w+StU2d>I7B{pJ<*xT5ApCP1AuN0)Zbh- z)-U?)v^^dPHT&3u4kN1Mabj~JZvFztwxG=@a)(KC-=D>Hz?SbT7G#yrh_-=g+08u4XydyrUn~|ZQ3fb<5k$j+CI_rtF{lO^`Luc9@^e8?pYV`hW0lrk3f=F<`52X!o z!6XKv>719L`sw)$>RfpO(%3FTx|nLT+L&l3RWKnUv2lCs5^4)bwIUr4b?dMLT_TL!#X*7$G2CjnMvlYR^%?dC;T zBE52qELYRG4{^mT;k(YCd&uCbloAZxP;LrEj@Iz6G0sV;wq*{QAd&a$L$GT}BNY1e@Q+ z2X^hQw0y5dxF5HEEHk?7X%@fzx?7wxVqUVHHf}44t#Y?0qF9lHNiI!~lUeT0d}_C@ z3LEUd8D9;PJ%6I}JlWQS2~PzeD&l9Jtp` z+Hlaygcpyh{>Xe$Uu1d=!Xj2FnU^bnRYbs0D?cP4N6u-kWPDi6l?I~C2JIEyLYu!A z=EgTga4aA`wE0iVuNL7?tg)%PH=_$eqrT1_ID%!0<)Y4%=Oc2{S8d1kBvhU42Gy;s{sM@wsHNasKF;sZd07_#SWCL7PD6WI!)0c(xhm7GQ73S?N zyJ{#{tTzrRt8E0Wwc?mjC(xepu^g1c6V$+5QSh@>PS5Yd)opkgxvekbrXVoQAT*uo z&%7&M`4@DJPy}f(hA!&_Mos*QMniS)cP07U=e+ntT}0I~!zFAF-P9Eaa>0&Jq<)~) zD`8!L;{gMZw(v4;Y^-rJ&;4qwyrl_56*(t#y}Gqh!Y2Sm;Q0}3+|tQ5_Ql9w+YgIb zYAW`~D5%5r(4YNS+r7v5V^X^Cm9}KC}LSk;KgPSmEe z&iH`(uAqVdlXZf#!?QxC?Pp35YwGEW4Y}UKrK0x{ACPXpA8lQlO`g;V zcA1S(wI22*;#DI4vvtI=Eb3+agl<}>J;J;9q z4jdTtyf+I>=j&CR!|#9VKHJI$CSYfULkcS*plR6~J!?akCGj z<<6Y!U8o%;mC;axBTD_Ij{%GjK@B2uE}MNOb?Q%8_MU{G1w-bLK4V;r^ga{Yub2>Z z{tm1mr+%(1zUn@uA?NQ^D}#wH$U*~uxp~|>@_ONfSSZD@p&_xUd-!_(WGlLs0WKFe zQ}UU(RhU<|{i@>ZaEV26<7gAW0$^i`Tq{#I>GeQ@piB_Wt=^tOeTPWsON14svP-cP z2RCgY?8WIwC{erY1TF`ccR}(RL|uGyo(ResCFO~Sp-=8e%{bm_PgKbx_mt-A>CN*| zE5#hYBZ#Kd=m56y{Ld1y?#nfzO4m)E=5!)2yRkj$Wa(z0z;D#+>ylw8szQ857)Sa` zIJ}Af@XyEJFDa(*X&IpR>k$;bi^qznlB{W0a8X+J35)F+dV#t)z?Ilw+*5y(oaL{{ z8<28*tXRhblH^TF^Gx^Ua%K2E4)FS~&XuOK`;qpT4;Ms6V_H_MsX zAJz=BE^HV=7{`l+CMw>*wi55Uo37!yLvkyPapHJyNK=DD^-dU?=#5>2t_>YnPela!~m;&IkyYY_ly`r^buF8U@2tta{tT7 z0q<(!e4jgDn0HMT_>8|?n{F6wHbUEJ9+4V**@CJO3?=I1q?Pe=JBi-{5%+CB{QLE4 zA^XG%*$%A#464e_zE5)`D6vu5dPJ(`?AW&OXVk2LwN_gd%iH~{bavsHg7fm>E;bWy zMJ&ew+i}Lou{aDPoAo7r(|PSsd*>?w&J-a@B5r+p`Zzj8I%`gTuLA=94;$oGIElMf zu3B))U06)hePyz{nRUAh@}s=bP;={Bfpo1-myHbZqgp!aafAE0kIU}5OCS>gX;hak zh;2%7^fo_jQa3(EQ3p%Bp9RAfxbQ+Ck#FBBqOn0xcQ@1+&s7)A#5@i4eRd<#%3pomz`;s%fbDZtuc@~1o)jAf5b|t6K_1~QETHgn^6~Y z%%yTMAGTp^xQ{jYRt3?xnHaInQL*BUw7;RTYd<>E@Pnk!GqUhn#6TiiUjywvBXY36FKP1=%V&Xj zAO-3>6-o?wT8#f3oM?yK$Wnhve9njYAG!d#hrFHkCt>>y)Gw9Q?#tF$2M?}A={g?q)9Q_&3<+CtD^={q*-u7p* z-?_=LY1&gIHa?+H?7v(^SIM?9N^g92Vm(7#gWVn=sZ91RRx)(?zS{*Il$F?2CyQk@ z_M^f!im%IOl4Kb+tT94o{e04dtTHQ6q2F^qn@Q6_G_z6tD=G=mbP)QdDSjyEq&Csp z{@mvS6Rqtk9SjRYv+Ssec>1Eo9!BdQf(rWrV84>?wOF=l-l6PpQVQ3MTsh4=icdOc6Ggs|MxEF=q%S1t z2z0eOQ;cDntc3m7z*soUm*rh8f`5#rP{X4dr8wjS?7_yFS}V(TV{o0NJ+*CtxFLy^ z)A%=nX|YZ3Vt9)ri%JM@OLx)YEmnTk^n(_=&Gz6El#1Z$@U%l*ntZsAPjALDm5!NT#DKuw8$-C|Q57a;59_Buabph;ZAlQEGrknLKoe zKv7A@LFz)|KbN5t251djI7Bd6w=4D&S7xb-^>expu#zV7<&^yMwwv~ZQGAkq4S22{ z1X<$f&5cJ%_A7m_v@&TB`TcU~w`6`A(fl{;{^&Rg@t=KsH-zbvjO&HvY);+V*^a1! zl<-dJbSeNo5K$GhwNH(ln$AfjfFhzpazI=R-P20)-ag{8h|lEKAUcTmi>2B|B{crb zb{hBq3uhlbNMCH*59y(lf^IYT1AEXW3f)P40uHE=PDHoC^!Cw^u+7x4ITd}@fSV`9 zsLqJvaVAI(dks)PE58=QJKf7tN87vA8YV6dXM}{Mk_kqk|Gh7kz(Q&cBLHLe)cnZq zPw9~32B7@6y9~fNy76-iY|fqU8l^2yj5{5_B)!uV;D!-~pvvOjQfbdlF$6#&a>$7x zZ%`VS5--09H3rh!_@y6`L)I!)4c)}RHY_$i;Z!)`te`XnWC;@5Mo=;lC6=hli4=_I z!Ha3Sa(fm@(R&7PVQFqvF=!D(f$-gl+Mx<){=@ZH@6^&B2Ii23@tI^9@MvT}n9gMp zrL;^QDQihlKt1L!vKl&KL_acEFdc&!7U)$(bg&6Z;b59E+ulyWJ)!ga6L;%u%h4jg3WYHpNHl|z{o3(L$j6tWedWEDiL>?j!c!;` zeFHW`BYwf`aYeA6Z2Ugwsy)prR&B_g(4KfT z9Juj$?aBpne`*4US(r}?MwOUdme$N@F(9!GIne&o_ z%du==2qP_W%fZs+he9N*hv;Ear7qrBoR(gX0X}SJ)WTbrNW%5K>|**OTDZO{qZQqE znzG8!2V#q+h@R5{nu3M!8-2rFQzjaX*v8WzC-zo30GAY6mN9Iw1LN_GaJcXGhzC^n zoMra+`3nP0VTm~Ct%wx;wVW=MZrBgb?!nxeK+9lKLj7-vC#lIf=@89YHbyD;EB1^C z4A94gue(vM1)0Az&n!47DddghJ*D#&u?Ak6&LbzmTVx7|_!T|g-uH@i2cjLTVXk(*{I5igPrbPOu|-*T%(LHUTqdU z(H9IBPO^_J6Hr|r6pEBpOUnsUuQt~ktfkXO{^iTCu8;Wb0XsNDuE@5W?q;nI`q@lv z%sH=5##T}5)T#Ng=0)gD&6XntFUQ(RM#Hmb_@bn{v=UvTjj@oN+Gt3^Fgi)NT(T{W zL~zRy>?#k1U`2`zMig1@fSN}vsA714^!J7gJ`;e&X0Y}hM+Y}v-Vcyan` z@h%vUnybLGvwNavA&=cSR|}#RdO`PFQ(rgl8qQItpxm|f0JqMg)|;5dIl0j9HcrR} ztoc`}YuvVKjxiUCt4g$e=JlrM)3P`k3k@-&$Rs$>n1Q?$N+e+vN(5r{akWxP2C9hN z2Q<$XI>k6M`PQJdNLR)g?OuEwsTuOoyUOb9Uin`}n+l^NiFqZvGM98P_B;8etfvxn zA%2f%Qw`tkdc@L>F>IfDwApMbaJ0gqkJ55uPx0+3o3Wu#hZHz8T1t+C7nRpXk7>{u;qDqG1YwhhzlO}gk-r$R-Uot@Klf~@9XXSR&&mlmmU}0{OT{b zx}GpRKfa&*dbbX7y!lvJb3=*R0P@X<^4m=UZh)-R+Ui}-ue){EmkkG9N;mJT?p90h zy7}c!qNB}T%Ps_ce_j8}psQ^!c$X%dtd~9sKze_YSN7vh{c&U@4{g$E4FHqd8qSQF zwx#(z@ztHb%nO4YD}mN53=XBC!X0);)wppz6bgtg()>o>c8wYyHi6|`Iu z=3XJ3-S4a@ZL_J7Wg+Mo4E_36385vHHq|e|)!U?W2wST!Jp4yK;A#U|I`sr_TsMP6 znU>PC(5TjZx{*avMR{Y}WKqn@CJc6TVfhrY>KayHB%eA9v_87r0KMb@n-8wk?=`-F zL6}~}L8p&}fz2hE80;YSg!TTDzM%SWCL_5^y0POITO5ZnJ7g)C)mDQTPgwm2EGHqA zA=V}xbPS_ggvN&3il_(B#UTV*F}&bqgYjiOjkYrCrpHiflxV6E^Alf7CY8qkO8ozq z<6x)&Evq!?5R`f~RID07iZkMtekn#?X6J1QiG7$WY8|FS;DepNSwIsE@JkqVBP<(w#CQIadFT-eubi48f)o!)A!8kUVFM(55NJ`MaG>H{>PPtkZgcpj(RAHNF(EnV1%<@=s3z zxzz-D?dx@^9O!+!d1WdS=Qqo^j@WPYAa*2jmKb*r+p_$SnQ#&9$^F{T%9RCJe{MFv|yBkG<9l(s`ktC zk8GMA9d^JwkHOf8ecE2?{sh$655N9nWdXj9qh9h0+-Ww0M+W(v);~a&kZDbkjVOCR z;yPdi&UsvKqlqcw>h9vYlgsA%aeGG#p_J&9>8nO7cow~{ZlANLi| zhQTFsU?i;A9JPB}MWSYRpZCe6StWJqYEIbtu~jOC?~kRlN_HSu9OUR3%@o;li)+HQ z^KsH;pH8qxo6(;ggt6`J)}VY@qq9xP{uMcFjAXk$p>C*Tt)T(0Vhk~q{7%gsHSwDY zWI7t@3A=3WO`R7K+p`-ZVM~}I+2M3Y^_3ZNAev7RQ4u5jT2CA%Nj^yVs|a8wqDD@7 zbSFqc|Uyq_|x zIF+7Wzv@WMxZ;1qmr}ljqwF6B1%-BFQqlG+7iGdGE-ZTdqn|RyzK>yw3KSR-L`9WL zx49`F6umm|>sgMv4eDOpxqKQ(^*taN`6>~)4Ms!RB=y8soxMPJJ^$ieSF^Jr zY1xnBuI$n!FHq>`x;%b z_++c4zChL;vCthW_(8#kuDf^sO`f~w>RXXsnAs8(oPN^VmG5PhKYRkfAh5#2-d6Uc zb)*AV^-1-@%5uWwwAwZZr^WcRHQkXV#V!g8i!5>d?u;%980?iIm*#RyKGLGZ@4G`+ zCP;#2&N@lf1L0p^Oi1D=U|6yYdC=(}N|W5I;dlKgd_9dGw7oX*et%+v=U2*~^C|J0 zmb0I7D4F?3vZZj`X_KVWFX+E?Qjw1G#mo zQWQ@A&S(MZHPw4VzRZV5Ssef$hc(f3V`eX7+Y_fAwvpxIwQnWHa^qjG>-^c}Nv3`B zNy>+JMOsq+@xt@5YcOOztm2VW1a{Q}x_Q#Ar~cg9_VSqkOZ9`%<^#?Lv#)ng1|It& zm1iG$?z28&C|o^R^F+V@J|8j`3($Y;vtao0SabDC`H}U7Z0%$7q2#n#P`?hOG`Mouzk;@Es-t$}X11w=fP!($@ZQcAbA)Lx4w4>3jX^oq0II+$0 zubHBZyUu0(n7%<#KAHD1V^c?o`!9mRWvsnchX zV(1k-fQm@3z4&Z(fZ|3g)`qjEaUnk&E)rWGb&wmHwu4QeGIH4h<< zA)TzX@@`e+d>pc&08pD*I`{1!kpf6mU8xh_$D3QD5f4NHaE4Y^(HYSzxY7E4XtwVe zJ%R~Urwpi1A&vE1|~HlWVLsyP>?!w7Pl@-*qHmAGLWsZPTPy;&PfFqtd6b%*;pzhvK1;>!k9~ZlQLSYEr zgOJ-EoJPd(-~Ofkqb33e#-J*tRyV*6i3rZczq3ogdQy`ZDx>@p>t!ACh8a-8IspEV z1Rt)Iqvk>~e3b$Ghn6R(6PY3UYl@nAXP9|X=JtfNkdTGt2_4^x4`WH_!C>|xa+P+u zqv%X=GfLmQ*8&3Nm0#hdtH})f9I|C5PK!BpT18pSbiIf!G+1PcjQXvIKMS{V>2p;P zL*c$?u@w@8p^h|x+DQ0-5J0gL#f({9*g=SR_Zs?9?RSDZQ>6LN{ss+3a* z6d<7ak>-q27tM=RlIakbAnJAQ$`&(qjtyQsnT7Y-`IUb?^xmsbqN|RXjutp#RI}^_ zc&Yg95_e@`swH$^_9= zg}8^TR7iZ+L;B1X{9VW7QS81Iqt9XA ze(JuscG3%V!U(cN!CGrzt)VhHUcPJpFWqnw7~zC*Ksmd6RnSJo!wGLe{$tr?Wxc*w zWl46*rIkA!zD@jJfu99ugQcqdU1Sm^J!Tr6o-!={;|_BDu3p8Ps{92%`a{3m5^aFT zOp_BA?Xt9iSYKBgyGbhr!J*~%*RM0*d$8)w^xwaHp>-mcJJj*{X1&mHZoI4N?!;)_ zn|bDWNyPnEm!LRq52VemjGWow!^(M_W^P@;?fh;B`%Qy@qFRBVB7yXEl?}7Wy9`4+ zHKM-Ks487dF_wT&!Rg};+|~)XRa*2i38}cQi^8~fon&^XsbEoZ+Z|weUYFriKaG0Sh)Q9NtVJ@oeh()^TwEgA8E`U)NSXlje87DUuIX z>uCdV#MfzD^jIGWJf&aqi;Sr5>1f*Zo9mz!=(k7|jNcRatSYEWgU|bboz%y9)Eur` z>tCxLucbq8*T3#QUMKkL7x#9x%{(5{u3i3%UoHRXc~Iq$oplQED0oCktmv`qTd47k zzVFaK^xQJ6?sd?~@x|k?sU!Q1SKqqmwft+0S}Nlk6vG$p7~VX;q}39Op*_miOADs8z&jo~C*^`~N2K z+K&D;wDkk>!KQ~DL#sGYMkX&lp>SxE!V<0*yl+&-;Tln#rSfUh|IGhMTGnBq+e3H#%mm4Iy3~>p|J;nj#7c!tuQ6WAp-eSNQ0%l9g;^8HCfuS_D z0i?OnLx@n;#{$zi9mOQhVmPL>|LO~s{iF)?iOciFxI!#V_uqoRLcHV$W6s9Y7(Fhe zI%X=rB1!Ju;2AnTwfOteUC0-Fc~01^ZB1{#lXg8omy8CO#xzDF0-?KoDV&4H-MY7k zmp$@AA2#J=%*jW9slmFjh{qV6A8I9UZ5>l(2v7r2d&|pZ*on#AbBo53@6EvdQtVde z-D#9j%RU80h{Gs45F&=eW*-C!eyO?#OUE-NRQv;&2<09So&L)lhVy5MC+9(vGiD3v z>+maUG=fkSn<)`}Y=at_Ku4cUBgTEKl0%Nv_-L|PB>=!zBDW-Z?q*lQ*v0r$0ZQRz z4o#M)ma$t1PUpaCk4mTr(ha3M){~2`3KDqqY}LVSX?x%gay(JWnfZDBXVPQ{jtv; zn3>Q?K!Lz`GiFA9SU4W50cs=2>_xx!491_xG7L_E79ZlsBC6gj6NzG7MZP{wiDG6! z4IHoGumaWvj<5<04ZG`S$hrOAcj)7|V&GC3paoM|{{t+h|NXIkp0@&F1T=!;)F6t2 zo4E8;OY&Rm&ks-^l18O}02@!Gf!NiNV7T>?0Duk{zoU z{$RX~K$L{FC2^yTmsm8lh{N(he$AnoKPkF6dRbLK=2KYdhMa(Pyg%<_9U!;?f7WPQ{`*q{f)XY|;~TVh*% zd`TBK2M2sex)Ew3a_mINZMjZ45{ye~Atgs?S&ha0%;vb{;nJX7K=(Uc#?a_UKNpoY zXIb1t_u#6+J!c+N|92!q%D7EAz0ZsS3~ixlE`2E|#I`zbv!u0y+HIoz7nqvVLbESs zk_9^v-RU?5OM2WG#VFgS^delG6H-RAUz)Bb2+z}|mk~iPmBo1q{VfXamajnZe$-J$J_tpqAr2XqdYn9Q> zjHEVQa+^ zm3Nnwa_KNlr;?$x+~-Sq*a#brlIUg+=N~01VvEl|L(b8D%Ee=EeeCvjU1LEqyiMR@ zrQ<_~sacRC*`$>L7NxPN#MC-&st4QkLA(s)lt2|X;JyVIZb%+an#|76sbFJ~ zNhkvFx@UteL+MfSSC;R|fblBYKy)~za0FnSAyy3l4~Pc@aJ#JjQ8^-`^Rb4l{3JCu zHb7sve$Kynj*VUfNT(N&2YiXZMT710qwh6!`7T&uWbiaoYc3LPQ|71vq+N=m%+xQ4 z^X|^wNS)3?86x9-7dTSho_+5FI&h zi#c1C-i1&%VM~QDWUH>*p-GP;j#o`k1)>B0r$9uai|A(oUS#?xrgt_DHJXsY3tKat zPJIpUtu<<&M!u=_NUTVlvA7ZbajIRop;VX8*RsK!-@MFD)oK^vUq`7}NvhAw6le9* zwabL`D{}D5RdJX=#;$3k(sUj>+9-*nY63-#cF zXBLXZ`fU-wVo5z_7@J~jam}X!W#?)S;a8Q4NX-~hxh!;}ns^{CBO0HS4~KgzbHN6h zGK=z?Jmw{~FkXu1IMV&egJZ=NiidVM$E>_Qs%qFD2P0oMqyszjYk0CGYjVr&knu68 za#=J+o%C_WNA9)mw6qon`o~ewn&`FY1m5?RKhhL2Dk7tKwU>VB@r0JV(Sh>HIh904 z@;(%|W0XW@&Mpp7rQ1`LL{d_A)a9*aX;laHHqP3AE8~6*kcZSWT)OI>MK;O!>7W2!EjL71zoXIfR!$wE8OKvv?(dv7r z2-((C_=nvfDd-S`wT70|W%acwFK|Mt7>j&BA<4U8JFJlb1-wB45Bn67=stVP851!C z{A|y}?-wAd)|fn(H@FtNi+$-Q5=hB9j<8pI;cJ-f z=Cs+p+xB8?dM7D=-@C46BNH%tQI+=kuoje=@dd8>!9qC`2SLid8I+8}wv(Arj)S8j_x=&0xPbQ&ES{NCK47_Xy+N3j^0~BbmM;#S^#z{|pVTGrZdLd>CQ$`NUOEt8 zOBo>D->n-=ydM6AU2G2#XwKpPW&_}wJZ45f!+rP?7ywVq}6FQF;dU+dE{)c`S!DFfMYl zM1`uoi_wX1fML7$-(4HH`oa02o8f3_}kjqST~;lV3EF7R$cfjfhFR^zjqw@!Dyk?~#7^N`+?sWR3*@ zMY&k%W#ZMLkT9HTDZZ~!T9mV_Cma)2{~s^Y1Ty^1E(M6R3=8K4K{MVYx3LkFDiK#a zU=w_>_?v};SZ#|3NO5?cTeJM*MpME=^=OY)EHvi+l{Zjkc-I<4O> zHxDF+Ab+b;zcj4N>YuF|7`SLw_>7o|JN$tyAAP+0L$@ z5%0NhaL@XLV6jBMp6LEipa4U<8SGnqt(lm*oAac?BLQn$wQc7T;3B~4geq8p6|MT8 z7uAh)AP`!M6u9C$;+|}|v68ES;8MczqpeFSNb|AJjKchZDlCPLCw*b5qnM7_QLw_n z)7ezicsSjSxlXm?be43!N-jN__wwdnIs=ui=Ki^iGIn5HIH@sph*M16_Cs|N4r{Z( zf0i{X0WHZmi>71<7+jV!VHf-doX~wmqiAwK%0b9L?C9rYQm-8jeY@0O6%u^$f^O$W zx^;v0V>eJLJu6k-CjkIO!~K->I7}hGKYWq*Y9#|vfKcU%RMsdXl|~$j>q~Qeh<*vB zfLm`yWOKX@v#FegPhy!;y{O5)4V=A=9QyG59^JSlcvvD}F_Yj`PxW6xlr!O>{S~~A zvisHM&0kru(UfKf2s4qjdx1H$a6;P{0(59ufet{OUk*xw_fP%!ODv3;2U}xtTJMKY zCb;cPl}Y>o)4#a4I*2WF1j4s12TD5)u){pgBpHrDNZ9-Bk!ZcAmB19PmV#U9_Yk9_ z{y<@iYBDpv`lt3zDT2oEBm{z_n5iJMYCZ5T*Mw_W$ld_xkwDwLu36GLKMCh>W$cj zRy4w@(G2u3aiqa;b(%1=bOIoD0J+fkL9!K~;zd|!=6ma3FPu6{ro0V}A_t2P{Ol4zEF&8mIEbRns-TlL9(O-AOE1pQYA4?ZtU-FOJ5?5Ri-J?9G4b}{ADA1e+)zB zX2jmaZkj`5@~z_>q=R~{t=0CN@!^YP;;faVv`rPM1lDoe!gq)#rr-=iOq>{QqpFg6r->uKe z>FJlZlg23v9?p#;-UPFT?4P6P6&~gjL5JrzMi1Mu*i`rG5A)mKVIk3fE9mFux1SlJ zQDRGoHTueE7{M?wv~cRq!Erc;6pHv5^ybE|Te2HLd%ZB6C(p$;MH*}YIOO0aolwL> zc`x)g+^T(tt(W&`@yG?OGoql6*V?gB;|ui~Gar#iXJzzWypjqJ(9OgwyYV5lTgtTg zZ}VU7C^CCBR&M7j&wgY{q@b14CJ0P}j}c|4j#GMG|=`LMST`#s-%*5|%-biKanG%F5_uQcESeUbBbft++mep}_202bu zDwVDlvMGR?&m6*Mr5~hghCa#8q;S#F~kOC_?2dU)2Kf1tu;X-Oy7zDhR z?2x2>;nKO%sI$bF+L@krzGJas@;(&dnbk`l@vUU8vMZlzsnPh0ywqak6tbid%M-`? zRdq?CI)Tz)CN{RjB3z{+iqvKzU3G3eAMr#$BJ7S(DZS{A7a#=ddw76-sCESBPk+pN z`pmCJ#|Cuf(pg^b1cLBy=xf`fvVcjDbPX$%dLNJ;6ZG@d4wVv@^W-OAFaYx;i(q+Ztl9OF~QS?@OZ z+J0PaT`{I>!uqr*BR@xMnzi=|kFeKRZIt$*G zgs27cYkco!%)hcdwil%U2LZ?1OC2{>zamMSa8Ob`j1o0+{AImL z=2K*b*zPWlyd%W&9&mkY@C)nP%|^FEL2Xxy>1@zWu~dL?_*L;YctzIdoR94{S#NUC zT9ui=dvHJDPXYmWGEUAj)eWI{ga&2It(@3GszW+|9X>FE} z6!FiR%oPQW@-(g>GI!q{i}KlENcn;e>reJggX+3iZ5(og^MloF&AwWcF(Gn^x@PZT zr1vmtndmi_wMIm?zfp7(jeIqsesk~hfb#2Q$m_j#L!~YHtQ(mHM$8=5CYe3?zG@B+ z=fn9{mVbZmj|`ohJcRD;=r6p*l90Z$`O|CEyFGpyU=_l8e))CIBka#bK4D7HrtErX zdxf`*KXdpvPWZSc+USH9nl$Ip@Evy#|Ht0wn@?4=d^^Z=@}ObGVvjHCU&PUnu{hze z|AEw+qXb(9JQhgaP=eFh{$PC5=l@rQxwndz3&{4jP-Xzn@6Hr7t-eJEcL3D`v4~fR z(1A*T(4r%rK%Dd|L~fNCI454(2LZfn2j}DtNDNGaO)>BuH!-0Dvp@$n6b+mx93SZL z*z+yJg?av#?D|&22IS(vO?Xz`vjjy028QCxds;ywZhs!5-<6H5kXbM~3tbRQ9FERn z^Tde|3LRsNo4CLpjPFq-Dwq($mY1_qBPxIvL~f}Ui$ETOXd|}JeFQs#>g9>heFU?E zvj3@_0St`SKnJ`CB1ofG7$FBj*n`*C@B4!)ePt5+Tdo(0Z?B|D8IwOOp59}7{aIg- ztgBhpoOl(c$M~1Tz724X@On7|#eWEWBz%H%TYN^q^hnqhkLr!jr(x{NI57qK9eO0g z*=y~TK=cLZeI=E9#^*QlrTmr_A)#lxyAn1biUXSIvUfXYwTX{1<@CBf&(%(~zOH;F znj07qBXNu;gNyegfcXul9Bvoll1U|vDN0$xNcU&!z}R+y+&AN zU7v1JInU^QA@kPHi8w3SpL4odus^fJ7XfxCeiyT>7P6~=*G=!4cf*2~Nz_bmeoHCu zY4Ng02wEL{DPMi>1O7`-{h)y@K#@^?`rc>cFMTdR{hKK|hS%g%hM>>IF#nP6h#aKg zprw;wyxNCMhDg9wB;cNVi12A(#jqRhVr)39E#YiF+uoS&6`DXrz=l(CknJ95J`Vh?D5RN_DFSrMkVqP5lTJK^QE08(3f%i|`sD|BWmgq9bnP zX$DG$#3rnEY0Y0t)C2KC zLL4w;MyxFV6e||F;Xh(aB+}R16O;{1bot5LrD|*oHqxP_P8x)YDj<3F0o$vEJ7!*aGtvHK4-nsNh;j@YAcOZ>!I* zsq7?G7xA5otgiWSp?fZJmU%5VyH)aD8w0n69d+tnp0KmGGG^%IJ#YDY6aL1paC|M5 zBTF*iM=o|@Y;t_DkDp`2e0Q-Z2%kTevsD$}+;XQXB7m||!PT$qzZLb*!@pS*3a)ndK;w`5@B~-4I!NB!_nW;b`=WP# z>iPjID5`#rk5+wwY;^3-e8V?S)?ymJ(vmsxc?)o$9dKh`*pO=BoCB zPe#aU4y=e26?CEpSBqyELlxG_9e1Tv1YHHNpVvrDr?FJt|A4b16G@zrk5$J>7_B*U zf;$c)Id*eb8Py*2d05rELOw=Ak>u71_7X&FR_48Cu6ijmpY3X)qyc_@*09nBh#rqo zxBznuPWGmfs&o)t^jc-6u4OsSyokY;+PR+rBj>XIHb)`}){{=%=uRD?6jNn`s`?1& zFT0L&?_T{rI5l9R|MgvVQDC7ptRp;%{jWO4^EqH1RvOv`4t`sxQj_E?sT-Z9{h>hJ z~ zoFn*ut^2XTFMZlrys6UF+&y^&q{ZDSh zWSm|2;e%;QQ-KLbQuyb#(;|zwkNzWe$RbNLX1FFD1^=Hh<7l_DmPj+8iUdqg$Jpsm z&74o2Ux=FQwVK>nsD6DB{?^42f6@$#DVSTwiT-QQ%-x7UP;I5m+5HCtjxdG8U&RNO zeOKMmbQbm`Z}$)fD$d|98-sfFhl8#x$h;p7nF%)*G>zB7=6$Z*G#-mVUGq4_zHzN% z5gQ7^<)W43NBv66n|$nEYPfT?v*O;Z+ zxa4)zi(qN{4-Oh!i1- zrbdfOL%Odf`VLGDb_!c)kWI4!W_)7vkj4HO(}ENencaaJvdeIn9XayMk0e1t%Pl&B zz9sI)A}Zo=vRvD|HaT5;$JUk|S*4Yn37ePTCMJLK_|xO42;Bi)j)uH_mW-Rgk>?zT zi^QynA~2IPyJOEF={2LS+9zXH%)zfhyLvxsyjYKW_Zhzu=XfmsouuU#i!bJq^B={= zJ*mSp&*}|+@M-HiH1S>xc_$4#Hi9=bEFi;v zSl(tPdK7CTKZY%fniB-<8XYHFHQsD0(jR_2`X`j8`fo_NaeEKuDK*!|OhH);USZx# zQnaUxbW0^S*Ap;Kp|s=S(U<#XFJ}H0TvzcDAI`!b&T8h#mRKY z@FlyYY*kq6_*8ewbOhwYU3<^DT1Xlpvu)q+=>9YUtZV03E$o}&S-y6QRO@#GI4Oj+ zmd!X4jKc}GE!HbjlzhZr?|WY63~Qpjlumz~=e*c;B2-S1VcF3>u_XCMa(88LImmH! z#GSv?s1PUq#6PrLt^at&y_Bm?i;Y36ElX;dC;d4FJInz8AnJq@R=BQm67*Q#Ppc4x zp-QC&o5D~KMm8_{Ki2=*_C2cRjE~4voI}IuIIIw|+-n6wN`%(V^J^alub)195hD2T ztojZvsldsVY?#r*;3uI&^C(eTr$&~X^9PH)DyNWNVXLeT&D#$W=(Mz9?V1(5aNUn= zF?LQg!YPmT7*PVMXQ> zpvSDL))P$K{FW6KLpHp?R>NQ?R@8b%C!1-mz}Ae}_p*n0!<{l21#Vl6%{Gy}HbuHq zdnfxL1h?D6_t?ay)s3I;HXo?oO^*oHZjdt8Kh}B_XV2oaA{eU>SMhl>6Bh&bi3c{t zWuHb9B5Mc_x8an^IPSZ2Q*otF1g4!k9xh)tlxKwJkuj=OdJtqPOUjeM`>H6KN&^Eo zSQwKhgO<{12DHktZLhg+^1L?c;A3zXxxc5bm@W1-_7ZbUZ)q(>qO>~NyUO0FKIMz& zLIVch#>C0EW2xdU7v#l1(7bggj7d_(#!(+@rdfHEaIGh~c69qpOlnn`IEM-ush52A z`B3qI{;jOp;)@)a^Eo;TUYuA{4yob@ypfb;Hlv`G__Tq<=7O%9v*`F+$~PC3;7>yp zTdPS*xNmfA*t5wSO{SKY6O|k4em!1M;*T4uTJ0t@qnq~s9M7i}@`@O@h%&f6{aetl z5Fh@{`?GrNaCJM|I9@VswO0i1Q|`h^^#Ov4E)^G4n>q&;}{_cZyU+*(G{KF4W^f za@6Ajaw1G0^0>4EbJ`4SvTUoYw~6bFz9g1JZc(bnTHwvo>OU>3CvSMxLx`n~XJh9@BolK9xBqUGQ0r1#lsYNs!UZw#jr z9m0p6-1W<27;?AJyfHpSexV)fq)A;4Tlf@#CrbpZ19dw#bs*uuIj47$fa;Xk#iHt|gNORv!#@zwyg;^e(9R&zbP4 z)ri{!;(!;ha|?{Iz>(Z8aV@tj;iqPqMz+H}Yh zgo+8Ge_2GPJyDAtIzYm#@m4*is3l&d zeEa-4vG)`(jJxC2`{RzClL5!Jw@612j>%}02Z1v^=hPquRhMF=`Y?iwb5dQ_aO5;2 z|4HYw=LSeDx&zN`0`6bdQtVCIpkFHWB$<>)Th}pXUl-_qZwkCiV*?-z21EyTbyZ`B zJ{K!kbCxUo*F1N*FCd##P)LDqN7^|GepO%cJh@e3(NoQ>iT)?!wj?}4PqP*{vPD?jB?>P0yp++U0T$em}?PVd3D90j>+;>((B5&C* zpt^i~?@EVW^^C;z^*cv;hSWexT*f&cbN(F}2L3oR=?+}R-0~kk^`KyTbK@L$c5;Lb zyIEA-Sp9mYh&d)><2DgM&;mHY-GU=$h>lYpkt59MJ%HzjcK0uX{8}FR`y_$y#ZVr} zqK3B|wb%eSW*fd(;1wE4?~h1O|h>L5`r9r=#l zP@FKr+?pR=b$&l%hn(ARED}lbW;z^JdKSr}%vJOO-aorQscP{!a_*Q$0PiQwm=pCn zr!T8Q{5T_M{Uphhl*w^=1rsr~x6A+eG8+YPn73a>bkPya zoNT7+>Uf`RWb)|?cWs?%gVjp_9;Rdls}JrdHxx~_r1Z`;bZCzcim*@X6w0bLjetGr ze$0uJ&WHYjiq7}h{q2TM^}IM^`t&#=W#}Q0i{3Gi$5&nX#zhu9(BZBd{0hw@_qBjM z5E7IsRYs0jV5(=_<3RK6R2rJST5SK}ncj!~&p3LxTAy(ik*E?h<2un#=J{eCQj)r> ze%F55*d?PMnf8N;-g%+c{(7IEFUOtdUu|ud@7}B)UpjY?80H9i05s|t<2Q04P z26Wfe0Ww-zA_RCn*bw1t-DXV>{VjKm^(-sykSQwi1a3w&qdQ>YD7+?!evT%QBR={` zXCK_FMcm=f{^dwvD7uR0#=r@USYA2zZMRqaou}v-nG!mEiSgi_0eJ5?{$*X{Wa~RB z#sukCqy5=q9$9DHDI1=DB1sk_NuDsYYy;FswWD=m<~)cYnSK5^wdqdW;wM4O%lsVN zm(O%58d+Zretu5yLZQYKWw@lzTqsn;; zOISB~z%Q7OXFA{0e__3h2uep~bud{_X`=RBWJa^G`{9=K;1>#C_aa2C4CDB5g9rP# zAoDSYRhTc{wGn;6N0$&HdW>&Chr1ABVy$8l9!RVm-DVt`Mf%a{!amx%53-p~uC(s! za={wS#2P)APDb*gr}p3)DaAPF|YOMmbK4poLcej`;yFDLFJs;fqfX)1( zr~GzdFm+*YOBLHjA)sP98*k?xZYjVYDWCB@YhMjhK@~HSo&0!_nna<8sc4l=C2exNF z)DQW(tGN#q`)=5^iUtoy{&(ifT2F+w(Z?(*hj`J7{w~^gWL4I0O0o#C!m)yuC}@bZ zXMG|p2k+#?3HoV=G)sMR%C(=l?HIC>O)-c5{h}TE42#%S(UUf$QoqJ9T(WWMwq&AKq~bXnHp(Y(5ljHwx~ z!43-Lvir`H>;hqX7UZ<9*A0oRQ+#1;TXXf=Afv)y-=TzYa%HI0nEentk0*LuB#hoq zgQlW<$DyS62dTEh3$jF+2S_IC18q4|<2BU_;dLeHy7ttVFz2Z>Ww!;YxoIW<6aMd! z95P=e&)cRllZ?^FYESJQK9nlyOxE%!Wh7&oO3!v=#8|hNItrBv?=2HBC40}m$Wm(F zN2M&7kfiC*oaaTRjxL+FVaL)kADWQUwz|sjiE@hjVXp&e{9*#C;VRU+2IZ2UC)#0du{e^mg=~ULm_rN#CH;jY!d7K1oOj8o4dE18o zzan&MQJW4|<+53+zPoA5c9X2Bq_3puI!DI#rB=RngIU%PJCY|KN1;-$A{n;!C0Zmb zUE5SM8~+HSQlS!~G8raO@aF|-g2a3_w#sQ5fDXsBy6kJBv4t4c8@O5p~;Svi#vO4vJhd%9v*GL6-j zS7aY)x7&RCBRwZUpR}!OZzp&QZel4l3w+E!e!YlKX8qMr)qG!|MfdeFLmm;PP}Eb| z!95JJuRk0eK9EKGza@>FNn4A3nw<6`X`t3NP+l;`+iXTX>;v7C# z)jbX$%wwLqrM-}3CuY&pe-}F2Euywh^#_v1IUw@Eyt7Rl4S3i&*W8WV1 z1a-|3ckobu{~g7VdvrR^nHz$rZQf{>oTXr~t%|t%yq7htakI#gI|h*^Q}ldW>Ql8|6Sy>u&q@zcuZ0$)YDOav4$KYyb#Y=t)rJ$eg2m&h0c1^U%-LB_NJ zwecVLbB<0cH3aIPR8+mXr%QLHnAS)r=8bs4V{gcw9b?I!tuz?-&Au2;QUB_98U3@A zMZ*NgR;Pr!&N-bD%aTE5CniaC+P^o?E(D9d-`?$vXSY(trAzbwjEL`Fn)^W}_No67 zKc2jDMN5-a58ty;YC=@s(%cN7Mmxo>Vv@Aka*ULR|0jAy)=srjM&f>Wg6hIFOA77?`Q15YZ;7en;O$w1P2(LM4j_rbb0;QbD31*^jr2ZX=NTQoCXF(; zB_*UmCrPW-7}U3NRVXyuR#kcpHA5gN3kq`egAxq~Wp{PlvMo|C6Y-0Z`Iw%G2aZ3Zz-MM{$Cg|YO@j&81)XP|>?Pd9Q`z<&rzf2XgxW0?EKTOE zdkSl)C@WDTt?wqPBpNfL+qYBmQd0#phlrsLIXukPRL_-mP2%_a3=KcV>^j3>f|C&Z zMP;S>Al@P~v&jihPYo3nHtOwviilItNmcwC75J z|8!!;uHN*cZBq zQ?UPU9oqqRmnY$|??puk>vGa#*v3%Xv*0sNa9H&$=Y9P34>;HV0^j@K()i`!;pA+p z_fc5r`BAJ@*u%})NA|P2h0`ndo3qO=e;&@JURnL_G_e^OO#6XCDx4i8{%Cr(+t)|n z+VixoPm%)aibYoBOuTsXHms-j)x-Ai)5Y)x3yS&gKmW@7=~tFpEIzx@kSIYr$bVZ= zFlTcz-zMR?7}gSLXT8&i8+B@5SCfJZy{?*KF?}*)A=gKh5!L z2Y-pCX`<74`hGwKuRhhzwWkq>UWqNr!k^A!==IBU+U6?z`iJjiB%ym&6AyrdM)7UjoqDNn8V)u9de0=+bJy=2T-Ob(O{heEnncTpb z&94xtznNit@9^Z?!H5hV+r@q#4&3Zjw;L}0O;kV5{PR8WkEJ2(CQpmpXYsA-CSMj9 z{L0ZL>w4~DKxr=LrRSv2f>uVHsGxo21(Q(~u9Xi=I8h0_Ly#iGJ{HN-#!?g2GpUZO zApI3ED86v>!7EP~$y%u7m6#N$iMPDhgd^j*b+N^TFk;xyW_DRn&)ds-sQJ7287w7x zd{FkEffkD=+Fki$aAYXXYRHHkT%!()&%?GUT!u#ret-h!h&FRkIp9DG2y45_&^C=S z-|Amxw+XGX{s?J`z-KjRQid=7Dpoe2R*#)y4RqcL=HY3~T@%nB4pwL3&qGF&k-PBv zpG;Py<0nUJ6gTUk`}EuqLjiperg=Ki*7=wMx%oa<>&O(axSaf^ygV6-8%qk5DvY<2 zXbew5@ly*NF^UMh<9iEirLe@X8s^{#_xXKQ6(qU8yWoR0?3@6|&i<1#aPyc56!K&K zBEv^|p(u;fRiGyPOi&S^wKhBKGb_n(MA!WFXhy@h%&ufZ*E04W%ga8uoTS2E-9nC;9rabCLsTHi8Qc}}Jq~|b(h=W$v)cos*H@(C-3I27rJt}%naHL6 z)KXU&%Rrlt;h-x=UATw&3VJk;X5ra>j!jcNLS2gMVhk^|$kSrKL65g3jJOyy?CX@x zsgK^Q#Py#A>W{%%*(CRe_<+$d(n3z3)rwKt4tO+1>|{NP`{KI-@Ek8H&%~DIP|_`z z0~B7DB3$Dnyi^vW1IJZQ(bVw^9>7*W3Sr=u53H-<#vZ8k^#^1{17?vTVw=XVs;y0a z7&UcCk~UqCAlh#v^F(?i*O`A*U+fcKgMUp(MS7TmU#E*jhZ}V?p-tXqHZU43Rjq^;;$Uw;!>8p~6U+79* za8`9(V4JAHt`^27)Dnb8u)T#?cX5H>ZDR>a+meh%HA()F^|KO`M#wI&F%G^3MzI*K zaP5{ThTKciJjb;`9hHy=oN!YPz^QdH+)bsE{ly!$`Lab9=RgotR@fGnVOuR03)=W4L~tL zg&Tgeo>Jj>K1~PgntwpZ*wxm0gK$eB^U^Pl#kP~xv67kjquqCUHJk&P)8%&233$U$ z40j#T%?XwPbP})=f_E>B5hFW;s0VQyIiZv20WsG8fZBCY3}XW$0)&|08qk;mBpz$% z$DBUUvfcs7|rt`a;q3PJyuT6PfD6c(BG7mplM7SYW| z10S-|l3Cag2Y1TR0$bWo# zor|g)U76PqymlK`cgiv55LV|Q>-k`Ms)$dvzRN7s^I^sFW3=3ES~jrLiI(4$Z2M0t05`5K;TM!%_JK+flQBj1dUZhyatrSCC7@rM3jopkc6P5xtFByz7gP-#$FV| z3;|$KS_+U9Ib>2uhi$U9+{ClZ{V4a2zpj0GzHX`3DI_dc&K5g!Ko9N(b%VXsmx*Of zL3ulcKQCUDtd+<;mBWsXQBp-BN2rA@IY^w$IU)DStv&0gIuzF=ML{}{S%~=;{uIx@ zYx9N}UZYa-eas=Z&QxI)4ZT!ISCZT=7a>B7iJm~a4eH#AyN(_ab%yY<@A?NGRo!s| zFn0c$3YvLXUa#tR3ZlqquTNg=E? ztK-?xlS1H2mZF#S_@ukc=u<$JLD-vy)M(JK zM=WTuro|iZ`I`fF6V0&$pcWv8F~B%T0Kdwc0n|}s5xgZ0!k~DhMAUSVM#5%;GlNtA z+5!K4!r1d@SSy8@ve*YYcJw5ZN<_!R7r?|!aAlL^kTSA@rTMP-kCYfuHv%9G_j%C` zYK!p7ygB>5Afqo|3#pI2XYu^koUmT1`*KmrBoqxWC~Cn|jK0|{mhLCHZBg;>_|~b7 zQ-3P9384xRjjZVM-A2{_chjQ zDu%GHb_bm3!M*#qg-ExL`R7LVWJZ7{dF)^XGkz0CS*ul4WZE;BXN=NPo+ zI7f1d7$_gz4Z~@E)HaNX9X?hKL5Q_6V`jPJt{)E-p_hXl;hzIwG-F@BGb5iJbc2A-J)p5--&ORJEC*Go)>Kq-KrgB_S# zM0gx>t8bi$ut4?Vu(ReeKKkp}6>av`q!nw5~Dlq^cC6)k)GAj|H;(%QR^!e!6 zrNSf$&i9Kf&vf2xrFQVPoJIr2)Mrp;7dT~E--I}q)wpL^8qst@W#sI+ORw_2p!ajs zK6z7bj-^j9vPLd-rwp`0T)Tz!u+5h6dNlE+?sc5IZm2ygT>H!KEYk;(_TX4LLvnBy zg$;hfa{QY9%Eqe)}`!a+=oc}G8DUp@ZZfo|a;Q2!+0u%hArG0HO zIz@hOQA0xN!azbq^|aqN>K*tEsnch3=C%zxuD~fRz&AH3@h8^y_E}HX)SDMXSEqed zgDW4UQ;=n|BJixzHtjqF#)!Ar5(n)_6NcSkh_g**U`)qkPSVpBEsdJO&2pP4!de&L zXB!V{T;3QW8CN#a5`IEe+GkJwUib&+E2R$Dg)*c}8DORX!mkPu)bV5N*3snyBTocH zVIE)SZ6h};R%T~Ee=^Y#^zS$;YzI?)dBMx!-m*bai6o?3nuq}w%@_2tcjotSO7$1Q zAXeffD#c!pq5-=az977hL}Xp|4tKd3G*sCqY26M2s*OqAFv`qnzmcptnX`RKb^KUl z{kDMH09wU`JY&EMA5+$ZY|OI{Im6B41mPn>$Q$oW5AEMeHB^^|n7e)xA*`nY?;5e5 z@-x;kvb8JB5Q|1SvJJee#B5nd4uJEir;zlrnmn%hTGFMt)R8`opqN|U*TJu1o2f@I zZH4$ei>O^R0Y`bvcm$8=SqJPj6vF)o$p?Zq!0c?FN3K{>8EC+d@*R|NlRQaZ(fZ~D zdq-}jliIx{{}zn$1HC-f2p|O(Xkghu>vt7v04uJU+QDlUPdGxIYw&~hG_s4h`86b! z9r$n6v34<@;Zb$>Fy4Py{Y`$lR7jK8qnG|W6;lW9bPWnzlCFV7F=DcQ?IL(gn)1Zz*Y2|~qsHqwoHK8Ck`aJiM=c;fUqhaF`< zDH47b){5GhButVwqihPo#!RkXg$RTY#rOz`qut8V<4+s~{6_m|-gFTW30cZI zTR4BgyRP3I)msMU zfg3(D0H4-}A?C!d00W~)7;_ruYt5v$Fg>QmUK{h;M2j_U?!XIgP_>mpFK%uY2A?0EpTK2ph>Y@olAmoM* zlx|)G>84ySdR>2g{x%>MC%cx!$yg_2E8*=9E))1zUkWC$>%{RcYsC~}cOMUJf~Rwu z-uH76F^b8*Z7o3m1a2-!-p@m2S{zTzkl-O@ZNAiP@{7f4@u6%{WW)F(qH@nQ4$Np> z=^FQwixJfo#vGy_xLF{VO;{jjRUICU{T1q~hl?#MpcCP8zE4?^3DKeH{qQ0B1ar5n z%XX@GTb_DowBK!*JzzKMI9;>TbW7lQaij60*e5Hy`w|0kMx;%xA0B_rWHZ~P_4rB( z4~YXH)R5bHa}k{y&BT&PfY}Bd_6l=yRXP?sz6Q{#k`qdw(ricjrc3&Hy$uuxANy zLX(aGCvU-MB3nv&6kLcXqz%%TkKi58g8S9afN`ndz%DZJjiM?@K^U)mvN!S#cxN=h z!|4!UevVIHgiERNpCf&=otp3&ec}s_iWU1*&J&IYWfK1}7MUay55tp2raniTyma? z+z^0-v&Xm zYkZh7{&?0Th1WqW@HpgnI^?9zrpGs>KVmeR!v2@1!;tHlY&T%G=p1%1l+Ydr6Aj`j&@x+*|q8Y-bdv{U9!cTen3o$x4KMY z7$8giGpaX*HTazhu^?C1^5@0qeU0KX6C6-d=Y?Oke{^K7W0eIP2&W@uG>!_AfNySlmL{D@zWwnk(|Ddl znPPpq_+6jY>#NT;pF#TgeQ`vq#(89XGEgCra-iE9j9=vKlS2;{GYTlgbagk7H%Ye$ zwBi+*q;<)YDA}oDVKZpeOrW~vrDz)_j;hRxox(4YEOctMI=5IxOj%XLVPJ@S70|wo zn6K?^0EyNT{G4rNz=&1~#CuIj639X?)&voltxZDvYDquYpG-XL#v0rB-QW3LF6Y7F zR}InG3UbeV&D|unS_^-;d`s{!tQxUA^BS<*QYa9(4M1-I>NP=0golD~jwhfbvwKir z9jiYj(R37~PlUJJN2HB-TV7nAshGXtO|HtIeL=T$b=N<(22j4m?$*Nlt+n-Gee-%FE$Sv~?@LxJm{aJ(5Mm}8<}CU9+>Dd${xq8)xB^iiNn)k&rb zZ8WS873dHIssB{fALI*4ffo#eoBZ{op&BP+7Ga~~r2FKL)SZSB^&bmE`5{awdow#M zq44C*2Q$rj_ZHk$b$^q#SvY5xIs-v&7HW5?D|9T1Ed&tBfnMzhFk;Rre!G&aMRRxA z3BR+KgB?9rmvb4nkAvu}T>Z1|WkQ}5?zg_jt#B6Y8rQrD)cYD4z)%eUR#Ft0Z*WjH zDNG%S>;tx8j>?gInusYW*Zp(5;yr2%L(Cz+X!l3pBRK;Z<6lQ2M*{N zIYiD;0xrkqkaw%VRK_Xbp)b8_uNRZ2xsL*+=ZdgoLo6$hlTqO$B@mznqYqMdN%nGa2vG9W z*JF=KQRx$Ka}pZ`e<3H^h*!0a!{B3a{yu#96g>M^UTCpPHwkrxP}@kpdi4Y#Ia7Xj z5g73{sU;v2f8+@fPI5_4oS{)C84dq;OyAeetIC+3{$%1$$g|-%^Sio1vv^}Mhtpl@ zbI&N8*kN`$t=Wj>ixjkw5p&WMqP89{%*10Kw&9q3G3EbOfd7*{2zN7y=vW%tk1$JI zb+FiCw!SfjQkLL`lJvJzP2RkoAlu6kcw9*(oNN5o4<(c(m?@eUX* zgZQojtL1h=9|B|WB!F*ZM^+;s@hGjF;+T{us5R$H>N3;u9hpZ!wM$@i;6w?x?=C?! zk;(bgJFgY;0SO-Y@bA`8{vux<>8Hw3!q?knzx{96r$231r(!KqMUG8*FbUhSho5l5 z<9!~X&xj?Vuc260=-T9%AMA2vY!WDVVomk2oiW4RUySiPOn*ufCzBN(&rpV#t%-qQ z%(vOmHiqQFejY%p(~YJfvQKMYrU)4PRv7hA*_mU)e_tS@kuJ*P3 z?kq;ML*z4hd=V|AwW=MeE20=R;ZKxzEye`f4_QL^{jjZxPDil5wu2sUrUwlK+iG&m z#G`k3G?QkqBPn_^8c9elu9xi_Isi7MKcFhWAK=sq)17%Yq-!;3*%D<`j}?GLEzEb1 z7Dh?n%&eO)+ngP$${qQEF@Aa0HylCg#$}g9DLL*|G6l=>qU^7?R$)Px2Z=_pjR8-` z_i?|N= zb=4}v&GzkQ$|FYWZRrzTn1T66`0LMH;J*U_7{B6zLBlkOfZZ8Atmz&*{G{sBE=Jf()slXQ*Y1zOv{%HA~oM3Cybo~kL<@u4dj zz;F)@6v`h69ucwm?kY>?Y0L9Wa2)Ka$t|FK&!CIIohZ}cqufxa4K4s z(*yRQa6C{l;jkb)Cm15&c7#T5#PgFJKr6HMA8kobIP_fSWsY`lNgEX(%uR zCt7~@0)|J!?@8qwB!eWz*T|6D9a0x}FC30!siLU;G*fF@G3(*Ro7Kk5Mo6I zW>X`k+M=_E_W&sUt4QH471Ab?FRRKB0Rks56*l^Vun|T!a3^F55^s!u6Hkh5rCRb2 zLw>}z3VV6MS75UK?=I9;s>K)dc@(={^O5CMkS6X&NxVB8ia3CUB+k2bRq>@axX=90 zX(*J~LAV+g1Df}kC{s+&lNd%9`z8kYZ|)E~Djtl9y18O68YIeaQtdwKOuwpaNsbSG zC8vq-Vq_m!?SXnylWM@t>mYC$TnL;OH-oy&**1_8y&P;{fs(~yAOa^|AkXi#U6hIh z10>o$e1@+7(BTbL)a%h1SD+Lo_#g{R_46eOJfsK#KubQsRE*MOBNI$&Lv#E592_P&6V`97M*_KDzB`9xip_~!em7){`% zf<$sN{7(Y>d_KNBd_LehOEkbgK>z)yz}u&-2N+te1Y?0OFYC}ZDH-#kfeBcP^P4o! zPyS)hO4P(aILQ&8ZPJK+Y;bVBK*|ugrz);E!eM-rxebJC?+kkd(jvlQo z=!6Pydaw_4`8xdL*Nf_-4Vu59&k<*&@p!9TKLn|^q+UdgTKe-G)8SDCvtl^hHiS<} z6|2KTszyfA4>e3to`0A`l?UqND^hrMs{zxBXSie!M<+2_>}(pQ!@iSokRVZT`NWUpIy zFGM98@9z%Loe~jj1pw9aQq~m{6C#stw?^$Z6dcCS&UMVA)}$Z zCZpl&;cP?JiIcCu?rH(Q&Kek5OdbT!W2PmhbA6iZXZu~93bfFNN@eQ_pWU@d5*_^y z*4{d*uIAeoM1v(bA-KD{Yj6+2-95NF!94_bcMtAK(BSTHaEF7t^d{f^edE6F``+jt zqyIREnrqE9S5@tOII>smngZ6ODguj21v`*;|GzIPt%^@Qko>Ht$ZvZ)8M&7|LyhoGz_<7v+b@X@xU#Nb7!lC8v1Avg@5YHCR1ZZTd z!J&J55{xD~a3_{_*U(PEkpgRW|E_3N1(ubT{wQAjAJ@A|DQTwi_*GHBWWdv~E29MW zg?|(67L&>~Bwapvd$jGD|DA_viPd}pS?~25sOhLZj>F?E@OgzyFZ3ew|L2)lCUxHS z&rg6J#DEZ*bHp4S!NkRZ2<~f1742S@%l%}l#%6A4_;)ILJb0)^Wwkfjza2+8S-O0f zExZblN>+n2($yjea{=b$SK-`*4$l9$Za9wCt1S9|T#XBpn7HJ#({ty% z2_Uov(#eWgYw{QREX=$gRjHUR%BrBADT>S*XZs#d&`2*t?Io}W>;ZfzKgYw4ExNs( zKkAwoI`T7daE3udIuKD3-Ps61f)}#F${vp-fm)NaQ3sJ1)MwI5qeAv8L|#MsPr-_e z=&qM3h1E;j^m`Hqk*=~H*dr4SrTen7S`j3r8Oq?W0-BB}sj^@ewaJXDZl_E-Iu@Z- z&HSOlOL}i=VP_M}(LSjfjm&w94}>5c>G4NQ^JvE9V$xx38GF1fUa6W=Dpc|hS?8~= zn%#)1GnOwJ9*Fbw!sIc^x2+Jeadu>H+As9JKMfdr-8I{cNi3vm*9X0Y2{%_DPGWWo zQ?*u1A%|b!nsey>LGa#0D230+DJ0}jMdaG554|QHQ)ypPs)nBK2%UcJ@jibTQ<0i1 zxlbxATO)+{j1App;No za5~pAlXn8P5CY?kj+zbHJ}n7(qw=^m>?RVkDmA?g#hwK&b0lKKXrcR1KzzxrBZokW z`c&&0Lbv~SY<}wmerFjDP9q;ARTH>E4knX9*vIjD|LhEm!dqp8Iw#TcIa8EM@nFyQ zrx>xp4fCe@jB9WgP@OW^Z7_=w`6Az;zaoCecNy2hIU4G{U2XrMuZ*Q=x{3ftKC=St z?pI74$#1>>#?>(4@W5xPl{bFoXWX2;U^`Fk>HX%R`^);s9QIj>$n#Jt#d(Fun^jZe zKYoUOD+_Atr;cad5pKPT9`5t>akst^SSa$m3j9CSbTZ-W8ko<%wP9nR5VT^SUM;u~ zBE}r^Zv{X01bUF@uR~&yxDd9V8EueMhf)!y&33mGi2SM>6|)X&9(a4N#f?;5+1 ziA{iT$9j0Ej+O%dUSZ*AAOow%O%o+y5nDe7Mo)`zK&0i|)NhFecKe#zky+1H%6VZ^ z-^}P8Zvg_MF&R-!k!iln`aCqq%@)@mU^US>^jO1WM zD~0u`yO`AIBJ!+-8u79ndO?E3lzHM&8C07TQdK8(B2MWH?rLFsLqwGk9&cV&W~ba; z``oK!?U*9&nT+4XPZz030>KzMDZf>m-ehy;nKNF#Rj@aVP#!_>UQEnv8OPxM6-*<= zu?x10bledg1HoVyeVEDUEPmGv1o{AhMk-F%S*`_UjF(3Y_Hj$&ukQBZj!eMq@#;>X zbL;YXSy}-Tt@?Ungi4Lw={`0;AEu%eJ#>Cu?R7M`Qul=GoHvz{dyj%){Z;cqb+v8% zoBYA=YMxKlP;v2}HP_Y(bcaf@yLQAXk;D{2ri9ECydOxd6^txv0Mk1$g=@EI#G}*C4y5UH;7Fk$^PG2-S9aUAtml0`tjM`HqCIwj^utL^nH;dw@7)e#tQmJxqBUP(IhPO_7Wh6Z-y& z5}JZD3pwo{jbt6ME<28An-epR$9DFK9bBj3w zFL}_Ulot4PfS6dFFkTguAPcfy(r`KsnON9*%o&e|ol78;54#MCN})b%5b?1Q(E8Uy zD=|;0KxRnb<6{YjLK&1`Lfk9(4-923*XUeKlDrhJ_{V2E4;}D#9O*P9w>Uj z!ZOL1zOa)v<+tnB?8gl&{4xqbY+`^PY#RY?)% zU>Y}1bminLj*%@>jw(}Iv9W00npa^K{tS|$Gz48^tgUd z2_UG6ha~`EZX6^72zkP57C`hH2LS-W1-7rlf`a3XZN5*7`%p;op?K-a;`@%Ot)dQ$UP)^KWyO>$BJqC?WJYA|920<8{h9u#%5Vx2}5;nXwG z(qf*{IT3sQ6;R>u;m+?r-JQ!Phk0Kqqkp26{vLK&CWehh+De+25*ue+R!g170jWrO zo@gU2R!S>FI*CLkB6fFzjp-K`RlgK(!2ILSfI257PT?T^A1S6$XB?8GxUg^LWxoa} zN%gnW#1bO9$w@l|r^Qtop;dAAA@Rh>(xbd*oW6geRf>QdW8_Rja-%}_{gX^*qS*JzX1i}aVn+n1j+2bXUqAH? zxPIPlr_D^a+V$QHcfBBZi_h7ZiN{Q~G~}2vze_wn8;u|Qb-pxr!M4=CKQlh=xCoc4 zPS zTBE9ry)&4pSCvx3(G)jH{H7|bcBergav8XhI!QcgF+A&>Vr|`GJ0JItL%D8`Ab4ir~N_<{`+pYbX=i^Ur-}sH|e`I z%@(pvlYl=h{6qBpb;FJ>Nt#t-tV1J!LpQ@b?ZW-#g#VH*i(}q#qlGw)>cU6 z(mMYqb8f|HoKbWaL~ZJm+6BF$csUay++w_q^8trbKY6@A-hwgmJ^jYeXP>vWT)7on zKa9pRhFlaxuJi93{e|BdZEtpIaBp@IKo1u|;3v)BH^gi`jY#x@YcWd0gJ)GQ~ zLR+Oh68Ls1n*O*>r5W5Fnr4D^N@(?6wb`pgTJ6_KE~RF0D_~ST;h!cye7_Jl;uxcG z?Y%2viH+9cJvKeuvR8`Nd=-h|fOKDR1f{cX?bmcgZO(|2~+ zy714_o1ZPgqdcWAe2MGb% zD1b!w{}wWhZ9F6cj3J;0+W_mFzSGa+J*bO660D!qd-EUnCg5@h^Z-*V!2X|M7cUT$ z*?n_iwmk&itSw3g*^P1(hO^N^UDUs5EhXH5pIwvWBaPB;gu2n1%pHcj?{8_rx4uT- zGlYCRUJenShx$S9F}zcWWIZm4KmxKTZb5J=z8)86D@!23qGHkC`aLiAC&$@B?a!xU zr%%;F9UWdT>&IhuLY+_j>7dSgEAcD-y%+a;l@ILC>JK^lm>=CKK(@`iPkf!a_NY5| z-Pyj)2~<#|e$OixL}nBxp?(jC;`boras-@pTfdezEulmc1MoTjk&l0S20c3!;9ITUDxyW=1Nf5n z1U$yn;? zz%XU+m?mNIeBybq@Vx2$Ix#jQITfk&KFYUBFikI_&ft>mYT_)ZEplMr7n^%U*P?=u zw+44GIC7;I)-2wlVcCEkeUf?ksCo_?t5$T8A-H}j$oYu4VAIg5W&1^UNwafx{4_QIu{x}pp zTT+qFGQ=~%(sJhINSl4rO`t~2_>XeP)!Ov-Emy}?fl&&ixTC*yTXET1WOQ)%c=wO|k3wQM*U<)!o5ng{ilCd?{2uDa$dE%<&IW(2 z-md;00NpPB9*g>QIh)>N(As;&X3X3HqGUSR5y zGq%SfnR19zq!dL)dcf2Fg7BKJuH?KwS;N=tE#u(UnM-0ePGBUfhd0}{dH))3P)`h~ z7(?{E>hmqKywr7ZX|{NxP$$C$o3Z^T%2=kpM<7MQpG`Vup}*a7O%=*S{Q>ByyNLb} zl7FJVeR#Wor_YOfhX>-hPi1MQj=#w^PR(#N-K^~yHo0YFy=TG{e$R2bs{zGCyEaw} z!FT{tEPvEh>B=@fYb)^)>YsG`bmtor49+`w!XH}AJ9w&(SQQ_6&`fA2dSuB(p zhAx#NV`qAO?CB*SCVKQWe{)pf{|j+m8xoFgZ4!}N3sPO}X9JeKG3*CFAq@s&<}rhY zs9#n%fitXc%1z&@4SlFcVgc+mS|O_Myvj|FDw7On>QqP67_<{@W@=S?mAd_OULO7U zeOjN_UkjJyB-bhQMf(tdySF1huQU0F?eYy}V4oMP%hPUEU?*8(>2;T@4p_=BBM;Vd zUDgMhE_U#Lalb?4IbLNw7D;uLRP?Hm^D31a!aFZCUCd`a&dR#BNWGRxy%tvVGEKeK zO1=J`b-l=X9L;(hmv!C8T)EL$Kc|(!$z8~9=|1+Br<{WIO)+M1A=#!uc+@YNUP}+0 z^q>l{zEdk)M=e>Cw+b{0vXXtj&~XAkM@&t|In;>tttD*KJDcFs$FXpSaWfF$zyUO5 z(*Mu`!~UU3^!kZ<^p99MWUnPoR;+XIZ&f6Xe`r_ENJ5VGlC^lN2MCAubx^RssZJ<* zXLmwU%(1VA5WTJ{xK;nZA;O^*7k=DaMaK<%O_J+ihYJ67kJOy1YOFL~u#_WHFbT;`vx3FeH)C9T8#q0hKj<4#!4KDWI!AbsS zaFOEw8QlK3`@g;6*Ag{8m2uEo^^-#`2BTK`G~KUk;L%9?k{n&{<1bxdk6^a{bE_&z+LHhu$ClP=VZ;} z@)}WS9K1a(@)}TSV#`pB{Hk0(rKLcyOk0d>yN^N9l=K;{X|Oa<`(Er%B|yc@V`uQm z+nRMeAV&O@ku-V+_&|ccmOc@$P;%&(BRMZu0Weq z?FU``itaOhDA}h_T}@9q?u~WNUWd05PN;-O6)&q6$iIyJN+g4osBa6|idB0KjN3c|K9tw7x6aQ@IGfm!{ zt&pBEC_r5;$(Z27G3Tx-eCqhrJKA5nMz-p;y9TY>&vF523vIbewu)LeF6sR#@FS5W zej-Xi?4me_tKj?lFmLY5xV}$!!uNaK{i?qty$_QEQB)Sjyx*b>_PYT1B|k9sN>!di z@TV!?h<8EcH)IT={j}%XMgSDEpF(9fEDLujPyAAhUzDZZOe^chCE!-$EO@ z@yIVyn6>kdz-lYZnk|%FA4NM_IjCWSjU!2ZaP+7>g%IT~Tg}b_#bSco&ZVPD>8eF4g zyeEcOAb`nXSAh<5;e^qULZ_327p5rz?t@MOUvo-q<_6Dvngin-#avSv#InaP-ed|C z-{J6$=_HYZCI-KsQV)H{bYf+KvCD1NFy~Ij$wMf=%X5iCi?)lqHDV~)={V>27dhAr zs>B>KQpB`&L{SXn{IqO9C89VLIkDTN-HET8gRcXx|3%UEXlI{6YnG$w1zW75B@HxZ zvs<>P^21&=J|eL^E(}XO(yfBGxy++9pu9|FScigiR%Zm<;b5<-{Zq5KOuBbimDFft z=201$Pkjbz`M0V(ty&drnbm|!G&!`az@^Hk&yh)G6GK0hCY zlGW0agp>cs6ketkV>-i0$;j5HPU2-L*W6OkFifb)FfqByh*U}_Yvqk3oM^~&LyMWI9HaHCwRI{p;bgi8fV?wQZafB$`z z_5W>O2s8sqs1e9FsPpK+))M9~DG2qv@T4`rJ^}zc6`}qf0HN_w<=0pNkvGy@Xd1`x z8VD5@&GBEo_L}lm6&AlJopho@UVs+J)}W{Tfj+@xAk<3;iy3?b$^~@9eufJ>!N9;Y ziv3LexgZ2w?n3?wZ*%$$0O9};{0e`xP96!*jb;(!@BcFiXlP8>Q^DSh+lhB%)*q^! zY)ZyepO$32DU6-aOEPzRiq}0o|sc$ zKks~R*5*2~$Af@r5w+@?7kAUhyp$LzF_s!5ytys4Ss31zA1R?YgJr=V!%isAuGeaD znX!Ywt{2U&mugX8d3rxCy$(zX9!Wfj?9Ff|L4wvvsdri=mVc}XNSLbA!c0SFd zxSe1n*J8Fi$WgTmPnyWHa3r_TmuS=8VwM~~GMey3=TBd{wd?;M=8z2QH`-Na<9j3Q zA4k~(X{MUdqp8N)9|fDT^?ZeaZ% zr(W)Gn70D$1qhFXtCKLkDAkrwU74w4dO0d3k_VMtSPBGzOQ?vxc|u6CAzc zZ)XDC#gzu#8TalHcvHKT;5-H1%>FvR2=#OQ2ms#VewFCvJ4Uy1l+BiC<{Rbe8GqGy zDt^5QxT@aW7z*%1g~v5;<@Z~%Xp_@D(r3>!B^FtE(4|Qt#(`XDi=Kuk9t`S3F59;A z6SJaS6={+Z-?m{HipZCToTl56g1WG<`-p63T>&Ca%2Ix0{zTcf?tBqCHIJhFGW3bE zv^BQjZL&Wuc^ua4s052h;T8>DN_u7%3H4@SZ}L8Nk)Ty_;;%thClt&Sbp-5<`%8(H z6TnNcQ&=`c+7a_QlcP^q$>w=0O-t3!TC$)LBvpo6xU6V}6E5pU#+g-K80GL>%VA7- z&S5G?6K?2zs=P9yS79>BBD|zk`FwLNUe<6D6@0+6x38-z)o_jPR-kn_r%{rXpS5aU zDfUf_!*os{egpsda+Izh?Z;t^CX1_)WZBivriO3MO30=k;Dv2OAtuebu7l*Inlci7LnO+M-ClcVy59dLLNCKMKHrH!tO$(V!X+%?`2VS3$_^Sd7 zBr3KnhcmrZC=cd4PwGWSo}H+?U1$b7QQ?-H`k+KuG$qX?G0LG%m86l!#x#XX9Ecwb z4D$J#gN7-Ak2!F6m6p`3D_SALrlscT=s9sIbhJ{S*)sNBVfe`H!Nz*_=$N58Lxt=> z9v}1{=I2;OEif3$;_%&=5w&E-zZ+}CfuBaBaes7Fm0kE2)n4mmE*?k}OUt26LUGX_eCnPQS6vME!DH@Eh+AerOeo=wPEs zAxv$r{8U2lcdJ8hXsc%hMxJWnt9;FX=2* z>J96x)ua$#2~uCL%&_^~%2Zs=cR|~p7%#6p$0dANP;YwmqsPVmhxFlnkws=gWBZAx zh26*>%GyJ`LKo#lRIzOpW z6*6=sx1l2|a3bh|YRlp$lh%heok6kGm}8FYt6{{c8GVt@`hHjHA*0V}%^kWU>5y6!3HJ}yzPDPr#Qjw# zBM>8xJ>LySQ1sa<{x}?U*u1gWp-Msq{EL8JZ}iJwj0#}fJOI!BgVA5(U-9Zc7!$y0 zuXyJl{Qfom6`%ZrKfK201NizMjQbk@il6`DV~h$M)+0&A(??3A~8=HBM`^nwhuPbi0ENM!d11o}t@7qJ$n7an6bM9pC9+E#*CKZ= zLAy@GH3U9X;oj{lk@W03$oRHniCPD&m7=VM?wb3ix~5FdrFt8g(}--uDBqWW$py48 z?gVu;;Or2Gj|8V~(%$m-3*^TcsT#XPtm_6NMQ{{qQ6u**N!4oA&CrB2agAb@KZw7N z_~^l@T%l$PkYHnxA{u^=h&oXJl!%yVEmvS^H+B@MRZG*PMy8kr;xvJ{IUPpo*$5`2 z-rO);8a3-;-rm!q5!hZW57S)k1ZlEfD;JgG4|>-vIU001^q8Td76lrWeg~M{4-(jz z))e1^HMzx2Bw7RnF#sdL8HoV3Aq$^i(QgJ9`IrLTadz*rQ=ZMVe=?~q9DXD zG?iAWnbA6=0`GmHiPNNl4w8bx_rxiun=m0J#28iF2;{_=zUOvYLxfRI=C;-vkb1_U zTt!0)v&W&$bU-6bIG`AiYk{BU!u#w{HBeXB$oe?_C>K-F&aB_Q7rPk_tBubNcQq4x zWDHK-%R+F_AQ$V9MX{hnHyZQ)z;~W%W-izRPDrslNkulE6PP-Z3%%`l&o&}ZXLwX$ zo|d_Q+NEt=)MCyWwQ?a>$A+_U<2B5FGaOv|G0W0*NAxi!E_ElXl-czc+sLR&7|4lr zYMBkAPvJ(YZgstCDoQ`=hZL=Vr5?FxN8~#osbMz1yO3KY*jza^=ITjTWwc|p)c4c& zC)J@yz08A$>B7!AzG)X3RnzvpncPmrm3^-cHr-+s264U1136G})n&(OT-E2Y_ry$| zE(PGvT=#llu{j#mp&0BA-ie!1B%f-xoWq2&-o8jZg1v`nA3$!*MP>$lQ@ncg!smb& zbV#tvTWDo?mZ#p&vmA#_qk0$)U>*P$-wC>;g0GOBGL;*`+$YMry@gzp!QrEhnQ9$% zk9q3mcfLooAPfRiVfwgIUYCSTFHQ8?QJq4!@A{VqR6XPxAtu zRJ{HE8lE1Ye?XNjs8+~af2gGcoI!x|&EYg!3=?yB&q3xIZ>=ZQt$4M<>C-$ckme_lCeY;o z0u;Lf^2)nF3Zr1vsD?>u?Yt4|#~zmM-P6w{qSWIynBF~Kfq!XRXm!DVmWjU4e9Z*c5m36wujpMo%p1ipA_BH7 z;~c}#U(8IuO|XLN7%5%!_6%ha_px-hJ?J0Z6|NUlt>YTkU(CvWPKblc!z5%C!@ZGbr?&!5^Mb^d&1jeqF5^yD%S6iEnn;kcvgn8 zz3=Zl7G}3qeE>Qm^Hb4pw9Au8y~)qBce1>NZ|melxZcg>p?DvhA?zA|=Hvw!p zwv{})Oa2lIetupuv{3~6;&+YdC@~y_;V2&UjosI-3?8N%4+>YOXYwe*-0*g!ODVcY zl4ddrWC=)eN>O(8NLQZPxMI_E0a5XFN^Cz(EXOdP4lk1SGu?i zupq_*mh=p6NXRrfCNio_#DpH{GGGUF=hUxHJwTKeg~Rv3M1WIkAXMuxS!yGlQ7}m1 zAd1a2uV|BzK)Ki>O=|?u2?C#jj(^5fBycYF49@>iY(HJ`KBAQ{lVST}Y?in%GhO8s zIV@MmE(Os+i`&8^-xmE~>hROKGo6R;vP(EyY0f@ zeZiYq*AG=!6U~XNj&`Nr{6Rxcr-(NQ!rH!bX;#Z&I4w;osz1S*%Tr1Eh#f#bNc!xy z4Z7SM6}5e7E-6p?<#T!jy3m52R{!`o(4Ct74u4SI`?AbrE#QkMed^_~uDiK94R_FR z$L`o=1*2)zF$uHOZ2r_~UCL#vA3dxs(=iFPlw!WpXkAlYJ0>9jLr14|E|+a4 zU_cbux-`Ph?em7IQ2;fJ;&H7?>@c_xo_i2oRE)VXT=acui}R384fS5_=RdsAEpK<2 zvNKs#26k_{VHvyk-naQO%oNG*yM+4C-tMGoCw&$sNGo^Xgji7eQ ztHsXHTLQ7g?ho;v z@b&o(VLdwp@HH-x-5v>|7o4oWGghoPM3gDEfj5TICi`PwykB-E{Jbw#xIjXFX?`#N6wN%! z-+u4|Y(kIgcSA&GWg8|$&*%5gBl)SV1E={*@!*%s>+bZ2SUbO`?TO=T-`maX_dhTB zqJy8#?wcbRB4aI+nDqT_Pw%ro<987d`Z(~lw?6Z}kIO}BA|ct_L{0VY%B0-~3HrJ} z4EYJ&Z`^b}-=B(=HatVZR`9~8C3o!qZlG?y9l{$7d_)EnqMtG zVPi5CEq9WzVZD7A7h6V6mW&B}u?`6z-rf9gN$58T38vo%ySgO>IrC*ud7}W8GuKG${ARD!TEkwm(pGw>(ITQkUXpTa0e99 zl+jryOnp7-PM;Hs#v0sMDqlCNQ2gz?LN#Ey2c2vZ7~YCAe0(*N&!q6;$zeiwCpJQU zbesU#AAcjIGoc9mpP>Qeke*97XhfD(s#~<2>S0nqYQJVs&bm1{txNyne zdM%Net6n01t$lg)T_N{)t+=^6OuT-rxB=-`*AW2~H@DB8iBJEO&sZP*Q$C|-DD=0= z=IZ|bpDG*mxmO8qzm7)VSBZxQAnF+?#}SGIgMnh1|AKzpkzgXg`&zlP4%E#2&+z|6 zWzB2h%^4s76x;IZge`DGBe zcH=cKA9**GeAAWX`?r)P&@Yv!(*1Jk;j%~6y^r)LtIO}{ta_g(^a|AO^{|UlE%eCt zxDD>|yQ zIC``1hhO133f^uvX<6Bc+K>kQx7y68_j*n0jdg7B?O#befPYB4OZHR6w1IL6Lc z{mH7`c9)2c0%+G!4E=0rMCS%;YfUZ;3Yk*UXIlJ_pRl|Q76aO_@ z9#}P8qV?h`hncfyW0b#+Y~WvK>hZr32mcyd!2dCth{eHSn)9c^csD5jFxRo?&j-qR z%Yi|{0^P&(dF|d`N~68!mh85*zi*zWfq=K{Ra>+E3zGvxY4 z<-Zh3b!AU975dYT5oTomWI6mfi!$pH{Tt!PpTF?)Z$$EI1pD6z3P6zo`E}m@QpZ02 zONIGVnI!0^fdPLOwc}*e0E9G3{~O|i@t+VWy!iB#so!Q760f7<-*T6zOV58jB7~0o zWULtj`QdCS-)hAOWuoFtMm;O0Ed?oOTFmMz1#l|0Xl6*Nc-rufq%CKxN|7B#7Pbu( z70T6QGb^n}8R5LXYc*&cpz1lf^32E2l+7qho~6|RtK3ty8n*b4|7lt^s65s0968o} zEYf076{>ty`&OzZJEQojz-Ll6Gr0mxGbtbPQLTKv6%X)N3OF@fu`>wZTnp67DcX=m zW1#tzfiq(T*1SJ}ptQm256=|G-zG3bpoDAlXUsHkD4BW}91J9_fayuzIO47$dp$X2 z9bEC}NT6|>*PrQ*_`@-lbBUK z#!qw^BbBt5J8K=IFS?BG5qp)knTT2}wtCQRc3ZFlMxebq=@cnj z@J-h9aOCxb{pv$c1{o$((0$r}pSIM1Oo-opKk=B-y-u=Rk(l*HC)cn`YSXZDkgCS^ z9kdfecdO|qji#jT)?Vpl(8?Dxa<2B68Y!v|Ba`}$N*)Wcfu8Pr(IPDT?w^TZ37Zdt z5XW>fmb%IGQ?1v2m0wby{#;>Dao@38A|$+1)`mvWYmp3)Y&E6`HD}B|UeBEyO}p(5 zhG9&~6=hZbef@2G$UuH?R}`vgQ;8%sQp-zf53AC;tS*W;K$frc+wfJX0Qu#HO1^i? zH(VTYr_$1v^f!T+C@$_oWU#9NFsldS+!kIcRxey*;?>BRv3kIQCue=bq3nTCnVvD8 z146Qu&*_V|0&5i}A1a$T&1W5%IZqoM(EC|0JnMi;#%PvdsJS^vT?m{TYnhu zNdc$38`ryhYUY~{m1JO+ye$6GcY3w*-P94I0uqM{UQs31idKPKhEvQDqRz1st@ngv z2Z!;Cw}=y(f0(sEAmai)zt4F3l)Q8}5>DXyUs9cpi?e-m5u-98wK!1n-4X23)RXKR zQkx&&(ao~wX-r8O%d^3xhhTjX`z3*EoklXxUSUCo$T_Jo_4Zehp*Y^Bnz+6+GkDH- zbc{Nj-J6RCg?vpNDUbEeAUiJ~$^CX)mmR>;^ z_3jH*pWXNa3*^iXEZ|OX4H3taL2xbVpNVZ|U`G=9qq5}Pzqx!t45}Q?Y55*H(T;%f z<61tTW(JR4{hYa#dtGF~FPzah*Tidxt@An&!pA^D<~@ zbRHkxWt|dRpJBpl%ZoZupyW?s5SnykK^a;@T2yTr)_eaBtk?&VnnD0ck2aJ=gE|Kj zgx8q{J~}bP^A`*m@%k+grdg+&h-7wZ0ehB;yG+pf4U!`rUUF1oMle=IIzrOK)7MO? zcPdl24loXWD3JRxuAomOo4aS!MqT}l_0Ly6A1sF8yvUQhqmMu!__Co92<(h>!~_C= zPN{DJf$&U&#y}vdqJGnBU_13(9CK}?Cj!R(fgY4N_Qnj_D&u1zzX_Ti_S(!@$H;~k zT_m{mv?}K0%lj2=P3JjSHy0bby7|>Xqc+C+ax$-U@oTmB3oZe=1anLDRZTydtB?*4 zN>#anR&LE>CwxGzmAs{TTzS3Vn ze~5;EBT)niF-iMI^9?*RZrt0bC}@a+nYTaWlm-X336v$GhX27)s0QqLI3IZ6A*9N2 zOj1dHT8ObR|3IT5t~5yzW~nEO2#8CRI)LLddZUV zV9KvezhL=UhJdUySAQKpA3irUS&T7qcIiG@qPEU8Wmj|6WfKYccsoAYGylN0@7HIq zKE}=#^gY|U^>Aitu5^8PShzELZ?BctNwOaM>o>vXVKUP*&0Ibca$CzMRQ(4}XLY;E ztm7RsCoj*( zww;Q0CMdzr=ck5+N)I$$Erfc*?;W}mjUBOCQR za*<-P3A^C)U7lCc^Ut~IUFOPopy-NCigZQmy16+q>}|TdrhHXnE`m0_nRpn{VW@r;zFu%)NYcxU+6>+d1=Z5PC?5H2g^iMtz^gDv_V~zI(VvSqe zs`_g$JNs*w`F5x1r#xDg$2=@GLx9H#sJ))P8+ZVlkZC0u0uL`PChc;>$99`a`uR2w z9!06qo8){qfuzk<55l1dKEfdf@MFyO-b&1NvzwlI;&A_r-l*iz#F!-D*va4C+sy|Y z7ZZ+`z+<`Xu6d=s(Yoh(#vbPqF0 zC9$7h-q3#Ss`D}BL=A%JZSk$f+1VYJn9C&AzQL zVhwDgpPH$HCf^ip^nt#t{GRwq#HsFiUTNOyXOT>q z2?5o-Qq+~t1Xgoi@igXh-r&h7*~H5%#C;V>aA}kR>|WNvn2PU7>3#jsY`AuaB~bmIV;lbMJon=uq;(thHX$lxy|kN)h;$x~P72DHQl;WCq++Z1>XMA=tLe zi6nh7+-56nnYH9LSG;S-We)x_q_UKkp2MI9>bM&!liNk&c;iwL)VCMvSIE-2ANL}7 zFW%pkk)?mNHJm?PUHv@r^aM5=R`;DgJLRqq^B`ysl-(}y4_p8dwEU5GLt0?fZjzw* zu_BNfZj<>NGqsgknYp9up>jNb^XSHA`b|F8r!QQ}XjeOAKto&^VY)9!sE7<%M;T%3 zqy$ssIK~le>x3HnwSh2w;@<_ke`a0`KUS5c%4Gv2y#F&3fLJuLIS> zM=P!bG+~D6 z5Kf7ht$UA)yekW=*um{~u-78~#A!*+8U3>eYu*_uz2&DFNsT6=4KgezUBF1(&k(yw z#E=*RO!zke25;d*{0(5>-}vXe{8<@0`xR^D*obSJU8vT@@15ey;*0k3g<$(+Zk5AL zGz2B!RAe2#^37L0iOIXQJ&0+q-_0Y5?n<+ijEJB{L3fPxNeMfDyF zMT6Z?`?M_!bnzIod&AeYbl0J9>>ROKmqm3)4Txt_x88Js9Q-q=>m`Y9xu!NzX!X7b zNK7Pa_`fB0wMgFJX3$E|HC!m*4j82D-B;qI84dj*?I4;UAHkMqqnv?m8o!Z2osLN* z4p8U@DQzNu(9x&YFx={nfjxZtFCH>M$B%lUnS{VJRq<3aUg%t#%aS%FQoi{LyM5;= zrzdke%qDnEB||E>_Mrt$a2qSM^p>7g@OoDYu%vVW7LF9a0&rB&(TMEdZo(6-ZoZ9= z74jxWjX)+|XI)GTQKnVQo!FK`EAF8Y>yIAbUboHVk1pCw;11cdN5i37=13@>bk0EE zMJ1ph-3)3AJ$FtEnzFZ$>Y>5M|Gl#%^N~k&XKH@2Gwd^O_HPyvR)w-VcAR2o!QhV~ zCK)OhmaGc<-iVU^1Kg!MQ!p8ihC~6Mo!8)!r>fXtsXP!V>~UkR;S+wJ5$Z@((I+S^ zh(r~xkb9%wpvIh|o=tEA10t^?!CxZT$ai@A`Am9QlsoTZkK`sM*9 zjwW^X`6G@juk0=P1r>e=Ku#3`$UBPMW&oMZuV^EFINQD;BrMkKS?*^)=BDfa)!12v zMfG)UoFRuAYDnouU>v%;OFE?+B!(1_?(RnE7L*W0dImw14h19!6_pNA>G$xD_jw;b zy@v~~b?>!)_ukjuXBP8;IS6y^qu&@aEn#J`G;TTUM5LLEV^ARAeqvh%41~upRCSM< z-AX!NRBP+Q#Sij7|q!gMW9K$*Jc_5>8+T5{%3 z!R4k$UCSQ~rOwvNHRcBolkUE#Z{e9N)^C_B5jB(!Tk;*-Cu%QM^hP$E z81Y=?J|u9)V3!`##TR1ywksyW7>b8+Ois?YSrSl4#)?!k!M}>m+n|7@$!*Vi!HK$Czi!jIRbVD$M;fvT)3QCpA?DiNBEcma4+N z0ftHS&=qrBkkdRixuy$#4{)-kCEP@r0ZvM9u(Lw>G6_Eg1%Mg=C+N85t zFN?BgQ*TQ493V>a-r7}Q4$Xk^wgnZwD0@V-O{uA^tmbz0&TX8O0u3voM-|N__05AQ zs~<2=G>ne?i-^Y%fhCcU1#gni%m+<%d9{RoY`36Vt<3Dw@2={UlgI>^QZ6$w1&_kJ z$Lf^T$w^Q)K;Qzx=@B3Xi70sD-vXjAIZ2r5vHEoP^CWB|cWw&UOUKvl8F)W^xr z*4n9}$H`-DJ=iJ5&QCd)QIL?wcqLMPB1QqpqIT|ae;X6IplG2IH@TMHWEO4g=E9yMX>@^hPD6u79`5+GxyG}Ej z!A*ciew<9NuC2W-58i0h*7+n)?uCqEU(SWZlGgJsLm}*&fV;L1@OMgWt=hnH?5&Ye zuY3F!WP@kegl-O`jw0COo_fR$k z;)3ATuulQ|fv`2xtaL89ZMjw{FM|?I>**z^Q0*ekQ^Aj3UZOAS-b4hSQlwDgusD8U z?vgN&#o@xJ%sFs1qjwD|Hx@tWb(}gXA@FzRptSN#u=^+@S2VtN8FH|`{{A=z-r843 zQ(C-cDlLbMIKebPyS6V>;v1dyZ`>I_$Qh+hSh<**-@17-%k^Z^>)F#4oBw(v`@2gf zZ6$2z!m0o0$6*TBn@JmN?H7%^`z~Kg%mKgVNodx$^;WqL6&CP;2HMBR@nTP7yK(#KQ7l6!UQ3bzvCg%|EC) z#nWb76yxIHj3`u)d0b8(Z`3PbE^~l$@*}f${I5OL$W-y)Q#3xE6bb?x>w|W$(RZ;? zi7_NmWC`AqzZW|c6IL*%3>tV)i#mSo7GrM;n=vr<@Ah=^ceV9wz?cY6NkUOs#9`J#u--l0;lXW@ zD1F;x{T=}uaqu91K|NTJqUb|tBmy6AvsNL~g4|M=rGFGnFOM^Sx$@!XC+E`3qfW37 ze5*q7R&a!9Py%DtcCv-xdg9p_t;RSX9FZJ#X)(4LbkyTou$W zV2#4Xi+SKC^=zJOD)P?efPi>&ixztSM>1&@EKW2T<~a>}Tvf`qWe68yrllvY(2WX; z1`J(453KuF%33+@JqJ(!aw}QnK6|co?jP5oJy$aVMIlMMpguStVsO6%f@s2Wp=K%t znAwWJ6KW-?*6k0eRaq6*FN-b$yO^+vC z8=(@{ZCsb67dmf}788|GQ}mUtQ}~QgptM0erEfe(+^YA;V%im#YL(QK=EN~bu+u9f z6sHFiEDyavR8&1aW+%j#~@#-C!nNLI;hn3EXrkil--!UNQc`$?i_BTc%ieejAL<_ZZzU2*fN~SWPcFtYP;EB3rvIX9*DG>?G^s6h0a&wHb z6g}~(WXnriz^?p^crb?0L>e0y$EE_dHsG5OAte?Kqow?${u)&Wgj^Rc-03((X%dTj zxJE-~*)~CIjdu*Dp`$0lN+HlxBaJV|&E7zk9tfCWv*3PA#3l4Gq2cTKY6~t$8U(UF z6-VroS4{JyLoyEheng2YR;3&;FzO$6LMx_K<{%lie#0@+p^={}k!-m16UM?_iPLB@ zhY8xDzXGg~ayq(B%u$t?=qRjq0@XOD^n_Fiyi}OM*r7Uzny1m#A7r17mx!YjqtH97 z5<_yUbJIknie4E2LP!AoK9^+l`29)LTNreS{A~7d>f9dVRoDP&AswmEla==1x}l(DZsUmc*bWa1#ZP#U}vIzw7dENF@h~ z1s_xmD?~XU5Io?VTfhzX>09-BcJ{e)VA2qXj+?4!F~j6Ukf%#_ZDP;Z)+Oe?|Kw13>7wO*vlhLycr@_u zBGJC24PkWJL*PeUS4 zB%ua3i((dFQi~CT{5Wk%kHmd&7r0$plvwiS$vPl{-+Y9Fw&fpVZ6{jb5ug2);rJ^v z^GuwsCm{2HUIelQ6AFTbvp#$}~YsbTZUE?l#?PD|=T2J{ZfM zl2~vUcmZtMN1A_Ur(V@XNEuP45{weeiF;Z=!+9{;Z8lrwPo=iq>t~MItD*@90@oZIkh=UKxg6`vU#BGbcDSV`CHM0c!UQ#FAKRBTml8kwS%1J5q;pXN8L9h) zE9V_yh=X_iWDp51#{~U$%gDQ584WET^M|ENu`YQ4EnVWp=Bn z!OyV!dsV^_?OpG!+nqz^tlg3VISU;ZcO$?L2sy^g~SHxGOYs51@0ySgB5TAS` zL{?SW&(?X1-{UDwH?2x&RB6+BS4x#>_ZKZ0SG_cop|)XiI)jCz{bHo)IJkYgnj!&t zs~z7oZ|U0S-r(7@Ld^LzC+HTIdn(F61Nr*}poah*^Dq^q2wpHj%nNa=M+aH%V(OW>^6WsGUGy@se|LW5C~uiFSa@tQS1K(J?|4=Ac_*`A0Q=`VrCq~{B8F}K>9+KpIX*A@guP+yBZm>7L7`vnJ=`! zCYCkPES;6^1w47D%vOoSrZEZ2T=jQ;X5~~rrM1=I&q7;(vGrf1o9-E)zX$+|2cIeWLxVe z4sTP}xsncFM+6!KRZqPLzgJC3Z=EC?U|dxAt-La-g(!~-lfftn0t_r{mREwBfq{4Q zMoFvw#ziz=fQklSAR8EXN@PsTdl$`sEMFtGKrjiR7(1h@tLe_$tit&6cek*rJWTg% zp1VaZ%{EJ4ww_)reLpQ}ZyxveCn+|)Vldec=G`W|;9X`SVng&wKI$+FtuV*;!;Fe9 z3W~y%JSB&lU6h+Q@X#DmbC);X!JVbo;C3`|Cs19+A*gTE8fa36mgf~mlX*vBHhv_B zFU<0eYw92q+vP#VPWUuC-*cU7YFjk9o6Mjsb-KlQ#VCD6bfOCAVOKR^D$Loa2K-9j z!l&Ok&2p~c0#)AP?Gag^U>(=gMY*mgV&9IX5Ql%9QJ3eHNfK5UYvNuR2-0E$HUD6r zfv>b|2orU(L#EVqS%_zWEf|c{s>De90sY?;!T&!s2mL6l`Lc@xjOpsV(3F3J8ESU5{NbNGptaQ?})8q zL0dfaZ^it&S@KFt=Py}G_ZC8%0$T_rOEJR)J7T#D%!3K0Ow^FtT)I)RMZgEIN1LQ^ zT%T0g*5=g7mud5}ymK-s#eDu=A8tQySgy5_Px?iWR~Phgi<_o(n`f%VODoa0D6bB~ zGXR>pa02~i@RCFM)z~}3$QP0XsyiVB{tYE-7H=#5lNamP%2NV;Oy= z8OD8!)(I@9Ckm^kT`gBD!8Rgf@@3Jj4PJe{6MwX~WXRTi0KG-^v1rp!@78ixooe7N zk0{?~q4BzM(awjuX*)-T9)(cbVqFDKFjgs@1hjeqo-`m_7X6WwQCh?|QN7h}Cdy3~ zr-Q{K$o=zFmi-_*&TJ@wyYnu4g{rlTMys7c43HKnIaBYPZfD|=)Q*LZbFmbtdD<-u zf5x8;CHEc7abQ*p57nM>`tHN{Y77`D;;wMfH}KapfxlHa));YWi!HU%m{zbuvg6&b z_!J?l94dGRhvIdb$90 zAEzT@GnDgQy%zYD6~)!cKw2T$QGWP!Y(zp|66zo+GoXd{@Pi^jVI?wjf|s;911FLs zkh_GL&z3woMwS@+`zBDNhUhm`)gJG1(HBL)-n zkw-U=OfCV+PUZ;0z3(-6RPi*h}NJXeR53XYFd z7{rbCCnh{lV0p(0CM=9yFL%UJ=*q_VT~6;r5sRa(IK>6RQc|TNWsWt7)ghyT6AzII zuo(-POJ@A7EGOWC(L5-=hBq~Zlt9*U3Vsxg!2)IXNN$OA|O?Hk1AVqGi1*jcpR&Okhn9T-Es%VF*1r`%;5A&okSBfOn zq!3jx8xV&2`3#OQV8-e_YT-d)a#nn!NQ`1-ivj9={;7ZJMH8$XNt*m`eZb%dXDzrw z6fGG0bCz_hgP5(Z5Ht2&tQP4!`qzp!v>ssjL%{R2+!2e`M6BqoqlhMw9AOYAFSf;(+lM3bo8CK zav!HY)}f?JbXCh^{-7(8%Az?jFZ`b7(v`WgvK6~EJkp*>-}h;4!!Wlz7Fk{xN8(94 zokL>wv2cQW{H$=o{0?YWcZS}2UFp1Ypi}m_mdVMqNn0aHWVUgy?#8D2PDh=~s)u#| zQcr&`Eo7F-x?S=zfNJXs<<}2T` zsR&;9(K7vJ?6$|ph9kxo?3m{S<80LlX|mELcC&Yrf3UJpIUWHR2$XQM)<*7z^vTST2J-O?O#7V_>9lEfgM<6SNzn*0)T`b3v6y{yUa zlHIQnp1`~7VFvLKu)s2NIn&rwM$e|^;`6DEycVC-6|BQ&vaQy(l@R8*89e^ySj`oKJNbx)GA$M+>=O-N7sKuiVo=9z^b5-M`+weiadZG68O0?9Cb9 zmq})Pw=?v9XQ*U+2fewww0QPw(dLd#|KjrUM5%{t;o@#%e?;r6SD}~Tfe!)8l;cF7nAPlhPNA?e?6Lh)1%LvPCQXuU4%4B4oOM9Uw{Wc#AHT zFkQ9w_I35HctiyG2VB(|N6TIKo}za)wh~;HoCM$054LZvum8N* z449v|?pUP#asA`^Izmqi3mb}ofdR(gmd{t!ihR7T3n;{2BN!O|-UyhRmxC|i+p+O6 zt&9g6d>W|_M(-s58q_`%e@V)R` z{aG+yH|jT zG+!$hAip=0UgeGwjtl6aCET6GXaCZ5qULh;y{lw#tO+_P!hx$NtC)))N z)XuFl0)kymcJmyfFHM-l%7t-e4Y;i3R5bcDSJ#Xc4rG1WgiM%9g=vMa2U5Q?v$13r z3YhyWf20_)9Fg8?7c{lv$xv-}rOM*=#lkP5F(qR4n9QdnJk+%pfaj_f55LATzKz(| zuU=r|U-RAPb&0@;v=$i?Ws-0Jo#N=!JKXvjl2lJBfIU8sSw$n zC@qvcLralPwK;vvEBm_1`CXs<%1k0US{iaGV5xpQMeSe}FwK&`D3{ z{w)F0-dh(;s{%MfCDk0BvxeW_*5Qif%Hyk8kM9wTW>2|V?e|Zr2ih9i1d4deuBy$h zt0fw?U1s{6pNw9ftc>q+N#Aq1)VJGRqU@7O(4n>UNaSG{Y06LeQt5UeY=*n*P})M` z>>nE;N|MOpMC1PWuH@4Cw7FYHh@<_%=VN)k6bWq8zLvf!?6l_DUC0tbnVS4V#uFj@ z@%&VsIp>F&T9Wz<7b2&m!5c@36M+;#sm3wO=}C~!LLcN>8M;vpy@lLucfT?*xN$0v z7csN%$KJccB^++p2K%uNug$+37;ARRP|UqqCG$=uf62$k32~q4bs&e00a9`7JkD_= z4(1j>6|gc=W@ zAo+OSzF&;!uf8Q%mwp^Y1gg6b5u zNEZkEr_8Y!uRactR>$|soMC+xr(YtXnBW74ALi2(!NPm9FENl~$t>gKVX^;Dueeo^l+Cp^BhBJJe37oL#EoQ#CoipO1`tZ1afj`7v%C zG4HnI?tchmKq1*@K#O#}+%36Xj~C@+fn5dxJNlp2Iaght3Iq1J7#O{2Pd67JF>^}YiAZ_ECZx~T$| z_Sg0A`fkLFD8a73DWK>63H{k~Z-Bq2GXDnxI`E&+pIzWT&_(xOGyT&O{^b6=Q~$$d b_WoZExNr5eaBy$Zc)(W}u%MrPH>>&|&v~`B diff --git a/Project.runs/synth_1/top.tcl b/Project.runs/synth_1/top.tcl deleted file mode 100644 index ebcfc4f..0000000 --- a/Project.runs/synth_1/top.tcl +++ /dev/null @@ -1,57 +0,0 @@ -# -# Synthesis run script generated by Vivado -# - -set_param gui.test TreeTableDev -set_param xicom.use_bs_reader 1 -debug::add_scope template.lib 1 -set_msg_config -id {HDL 9-1061} -limit 100000 -set_msg_config -id {HDL 9-1654} -limit 100000 - -create_project -in_memory -part xc7a100tcsg324-1 -set_param project.compositeFile.enableAutoGeneration 0 -set_param synth.vivado.isSynthRun true -set_property webtalk.parent_dir C:/Users/jrpotter/Documents/Vivado/Project/Project.cache/wt [current_project] -set_property parent.project_path C:/Users/jrpotter/Documents/Vivado/Project/Project.xpr [current_project] -set_property default_lib xil_defaultlib [current_project] -set_property target_language Verilog [current_project] -read_verilog -library xil_defaultlib -sv { - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/initfile.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display640x480.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memory.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv -} -read_verilog -library xil_defaultlib { - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v - C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v -} -read_xdc C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc -set_property used_in_implementation false [get_files C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] - -catch { write_hwdef -file top.hwdef } -synth_design -top top -part xc7a100tcsg324-1 -write_checkpoint -noxdef top.dcp -catch { report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb } diff --git a/Project.runs/synth_1/top.vds b/Project.runs/synth_1/top.vds deleted file mode 100644 index 73612cc..0000000 --- a/Project.runs/synth_1/top.vds +++ /dev/null @@ -1,670 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Wed Apr 22 08:00:07 2015 -# Process ID: 5712 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1/top.vds -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1\vivado.jou -#----------------------------------------------------------- -source top.tcl -# set_param gui.test TreeTableDev -# set_param xicom.use_bs_reader 1 -# debug::add_scope template.lib 1 -# set_msg_config -id {HDL 9-1061} -limit 100000 -# set_msg_config -id {HDL 9-1654} -limit 100000 -# create_project -in_memory -part xc7a100tcsg324-1 -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/0.9/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.0/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/kintex7/kc705/1.1/board_part.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:0.9 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/0.9/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.0 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.0/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -WARNING: [Board 49-26] cannot add Board Part xilinx.com:zc706:part0:1.1 available at C:/Xilinx/Vivado/2014.4/data/boards/board_parts/zynq/zc706/1.1/board_part.xml as part xc7z045ffg900-2 specified in board_part file is either invalid or not available -# set_param project.compositeFile.enableAutoGeneration 0 -# set_param synth.vivado.isSynthRun true -# set_property webtalk.parent_dir C:/Users/jrpotter/Documents/Vivado/Project/Project.cache/wt [current_project] -# set_property parent.project_path C:/Users/jrpotter/Documents/Vivado/Project/Project.xpr [current_project] -# set_property default_lib xil_defaultlib [current_project] -# set_property target_language Verilog [current_project] -# read_verilog -library xil_defaultlib -sv { -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/initfile.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display640x480.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memory.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv -# } -# read_verilog -library xil_defaultlib { -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v -# C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v -# } -# read_xdc C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc -# set_property used_in_implementation false [get_files C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -# catch { write_hwdef -file top.hwdef } -INFO: [Vivado_Tcl 4-279] hardware handoff file cannot be generated as there is no block diagram instance in the design -# synth_design -top top -part xc7a100tcsg324-1 -Command: synth_design -top top -part xc7a100tcsg324-1 -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 232.199 ; gain = 74.242 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'top' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v:20] -INFO: [Synth 8-638] synthesizing module 'clockdivider_Nexys4' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv:10] - Parameter N bound to: 2 - type: integer -INFO: [Synth 8-638] synthesizing module 'MMCME2_BASE' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:16110] - Parameter BANDWIDTH bound to: OPTIMIZED - type: string - Parameter CLKOUT4_CASCADE bound to: FALSE - type: string - Parameter STARTUP_WAIT bound to: FALSE - type: string - Parameter CLKOUT1_DIVIDE bound to: 20 - type: integer - Parameter CLKOUT2_DIVIDE bound to: 40 - type: integer - Parameter CLKOUT3_DIVIDE bound to: 80 - type: integer - Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer - Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer - Parameter DIVCLK_DIVIDE bound to: 1 - type: integer - Parameter CLKFBOUT_MULT_F bound to: 10.000000 - type: float - Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float - Parameter CLKIN1_PERIOD bound to: 10.000000 - type: float - Parameter CLKOUT0_DIVIDE_F bound to: 10.000000 - type: float - Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float - Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float - Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float - Parameter REF_JITTER1 bound to: 0.010000 - type: float -INFO: [Synth 8-256] done synthesizing module 'MMCME2_BASE' (1#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:16110] -WARNING: [Synth 8-350] instance 'mmcm' of module 'MMCME2_BASE' requires 18 connections, but only 10 given [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv:14] -INFO: [Synth 8-638] synthesizing module 'BUFG' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:606] -INFO: [Synth 8-256] done synthesizing module 'BUFG' (2#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:606] -INFO: [Synth 8-638] synthesizing module 'INV' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:12850] -INFO: [Synth 8-256] done synthesizing module 'INV' (3#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:12850] -INFO: [Synth 8-638] synthesizing module 'BUFGMUX' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:695] - Parameter CLK_SEL_TYPE bound to: ASYNC - type: string -INFO: [Synth 8-256] done synthesizing module 'BUFGMUX' (4#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:695] -INFO: [Synth 8-256] done synthesizing module 'clockdivider_Nexys4' (5#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv:10] -INFO: [Synth 8-638] synthesizing module 'imem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv:16] -INFO: [Synth 8-3876] $readmem data file 'imem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv:23] -INFO: [Synth 8-256] done synthesizing module 'imem' (6#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv:16] -INFO: [Synth 8-638] synthesizing module 'debouncer' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv:14] - Parameter N bound to: 20 - type: integer -INFO: [Synth 8-256] done synthesizing module 'debouncer' (7#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv:14] -INFO: [Synth 8-638] synthesizing module 'mips' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv:9] -INFO: [Synth 8-638] synthesizing module 'controller' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv:37] -INFO: [Synth 8-256] done synthesizing module 'controller' (8#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv:37] -INFO: [Synth 8-638] synthesizing module 'datapath' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:23] - Parameter Abits bound to: 5 - type: integer - Parameter Dbits bound to: 32 - type: integer - Parameter Nloc bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'register_file' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv:11] - Parameter Abits bound to: 5 - type: integer - Parameter Dbits bound to: 32 - type: integer - Parameter Nloc bound to: 32 - type: integer -INFO: [Synth 8-3876] $readmem data file 'regd_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv:24] -INFO: [Synth 8-256] done synthesizing module 'register_file' (9#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv:11] -WARNING: [Synth 8-689] width (32) of port connection 'WriteAddr' does not match port width (5) of module 'register_file' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:88] -INFO: [Synth 8-638] synthesizing module 'signExtension' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv:23] -INFO: [Synth 8-256] done synthesizing module 'signExtension' (10#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv:23] -INFO: [Synth 8-638] synthesizing module 'ALU' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'addsub' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'adder' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-638] synthesizing module 'fulladder' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v:23] -INFO: [Synth 8-256] done synthesizing module 'fulladder' (11#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v:23] -INFO: [Synth 8-256] done synthesizing module 'adder' (12#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v:23] -INFO: [Synth 8-256] done synthesizing module 'addsub' (13#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v:23] -INFO: [Synth 8-638] synthesizing module 'shifter' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-256] done synthesizing module 'shifter' (14#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v:23] -INFO: [Synth 8-638] synthesizing module 'logical' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-256] done synthesizing module 'logical' (15#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v:23] -INFO: [Synth 8-638] synthesizing module 'comparator' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v:23] - Parameter N bound to: 32 - type: integer -INFO: [Synth 8-256] done synthesizing module 'comparator' (16#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v:23] -INFO: [Synth 8-256] done synthesizing module 'ALU' (17#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v:23] -INFO: [Synth 8-256] done synthesizing module 'datapath' (18#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:23] -INFO: [Synth 8-256] done synthesizing module 'mips' (19#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv:9] -INFO: [Synth 8-638] synthesizing module 'memIO' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:18] -INFO: [Synth 8-638] synthesizing module 'keyboard' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv:7] -INFO: [Synth 8-256] done synthesizing module 'keyboard' (20#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/keyboard.sv:7] -INFO: [Synth 8-638] synthesizing module 'display8digit' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv:8] -INFO: [Synth 8-638] synthesizing module 'hexto7seg' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv:9] -INFO: [Synth 8-226] default block is never used [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv:15] -INFO: [Synth 8-256] done synthesizing module 'hexto7seg' (21#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/hexto7seg.sv:9] -INFO: [Synth 8-256] done synthesizing module 'display8digit' (22#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/display8digit.sv:8] -WARNING: [Synth 8-689] width (24) of port connection 'val' does not match port width (32) of module 'display8digit' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:91] -INFO: [Synth 8-638] synthesizing module 'smem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv:25] -INFO: [Synth 8-3876] $readmem data file 'smem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv:37] -INFO: [Synth 8-256] done synthesizing module 'smem' (23#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv:25] -WARNING: [Synth 8-689] width (32) of port connection 'writedata' does not match port width (8) of module 'smem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:102] -INFO: [Synth 8-638] synthesizing module 'dmem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv:15] -INFO: [Synth 8-3876] $readmem data file 'dmem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv:27] -INFO: [Synth 8-256] done synthesizing module 'dmem' (24#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv:15] -WARNING: [Synth 8-693] zero replication count - replication ignored [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:72] -INFO: [Synth 8-256] done synthesizing module 'memIO' (25#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:18] -INFO: [Synth 8-638] synthesizing module 'vgadisplaydriver' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv:15] -INFO: [Synth 8-638] synthesizing module 'vgatimer' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv:15] -INFO: [Synth 8-638] synthesizing module 'xycounter' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv:23] - Parameter width bound to: 800 - type: integer - Parameter height bound to: 525 - type: integer -INFO: [Synth 8-256] done synthesizing module 'xycounter' (26#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv:23] -INFO: [Synth 8-256] done synthesizing module 'vgatimer' (27#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv:15] -INFO: [Synth 8-638] synthesizing module 'bitmapmem' [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv:16] -INFO: [Synth 8-3876] $readmem data file 'bmem_init.txt' is read successfully [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv:23] -INFO: [Synth 8-256] done synthesizing module 'bitmapmem' (28#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv:16] -INFO: [Synth 8-256] done synthesizing module 'vgadisplaydriver' (29#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv:15] -INFO: [Synth 8-256] done synthesizing module 'top' (30#1) [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v:20] ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 265.379 ; gain = 107.422 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 265.379 ; gain = 107.422 ---------------------------------------------------------------------------------- -INFO: [Netlist 29-17] Analyzing 5 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -Loading clock regions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockRegion.xml -Loading clock buffers from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/ClockBuffers.xml -Loading clock placement rules from C:/Xilinx/Vivado/2014.4/data/parts/xilinx/artix7/ClockPlacerRules.xml -Loading package pin functions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/PinFunctions.xml... -Loading package from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a100t/csg324/Package.xml -Loading io standards from C:/Xilinx/Vivado/2014.4/data\./parts/xilinx/artix7/IOStandards.xml -Loading device configuration modes from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/ConfigModes.xml -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Finished Parsing XDC File [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/constrs_1/imports/src/master.xdc] -Completed Processing XDC Constraints - -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 5 instances were transformed. - BUFGMUX => BUFGCTRL (inverted pins: CE0): 4 instances - MMCME2_BASE => MMCME2_ADV: 1 instances - -INFO: [Timing 38-2] Deriving generated clocks -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.038 . Memory (MB): peak = 582.031 ; gain = 0.023 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:14 ; elapsed = 00:00:21 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7a100tcsg324-1 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:14 ; elapsed = 00:00:21 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:21 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -ROM "mem" won't be mapped to RAM because it is too sparse. -ROM "count" won't be mapped to Block RAM because address size (2) smaller than threshold (5) -ROM "x" won't be mapped to RAM because it is too sparse. -ROM "y0" won't be mapped to RAM because it is too sparse. ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:15 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : -+---Adders : - 2 Input 32 Bit Adders := 3 - 2 Input 11 Bit Adders := 2 - 2 Input 10 Bit Adders := 2 - 2 Input 4 Bit Adders := 1 - 2 Input 3 Bit Adders := 1 - 2 Input 2 Bit Adders := 1 -+---XORs : - 2 Input 32 Bit XORs := 2 - 2 Input 1 Bit XORs := 67 -+---Registers : - 32 Bit Registers := 1 - 24 Bit Registers := 1 - 10 Bit Registers := 3 - 4 Bit Registers := 1 - 3 Bit Registers := 1 - 2 Bit Registers := 2 - 1 Bit Registers := 1 -+---Muxes : - 129 Input 32 Bit Muxes := 1 - 2 Input 32 Bit Muxes := 17 - 5 Input 32 Bit Muxes := 1 - 2 Input 24 Bit Muxes := 2 - 5 Input 10 Bit Muxes := 1 - 9 Input 10 Bit Muxes := 1 - 16 Input 8 Bit Muxes := 1 - 8 Input 8 Bit Muxes := 1 - 12 Input 5 Bit Muxes := 1 - 6 Input 5 Bit Muxes := 1 - 2 Input 5 Bit Muxes := 3 - 2 Input 4 Bit Muxes := 3 - 2 Input 2 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 6 ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report -Module top -Detailed RTL Component Info : -Module clockdivider_Nexys4 -Detailed RTL Component Info : -+---Adders : - 2 Input 3 Bit Adders := 1 -+---Registers : - 3 Bit Registers := 1 -Module imem -Detailed RTL Component Info : -+---Muxes : - 129 Input 32 Bit Muxes := 1 -Module debouncer -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 -+---Registers : - 1 Bit Registers := 1 -Module controller -Detailed RTL Component Info : -+---Muxes : - 5 Input 10 Bit Muxes := 1 - 9 Input 10 Bit Muxes := 1 - 12 Input 5 Bit Muxes := 1 - 6 Input 5 Bit Muxes := 1 - 2 Input 2 Bit Muxes := 2 - 2 Input 1 Bit Muxes := 1 -Module register_file -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 2 -Module signExtension -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 1 -Module fulladder -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 2 -Module adder -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 -Module addsub -Detailed RTL Component Info : -+---XORs : - 2 Input 32 Bit XORs := 1 -Module shifter -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 2 -Module logical -Detailed RTL Component Info : -+---XORs : - 2 Input 32 Bit XORs := 1 -+---Muxes : - 5 Input 32 Bit Muxes := 1 -Module comparator -Detailed RTL Component Info : -+---XORs : - 2 Input 1 Bit XORs := 1 -+---Muxes : - 2 Input 1 Bit Muxes := 1 -Module ALU -Detailed RTL Component Info : -+---Muxes : - 2 Input 32 Bit Muxes := 3 -Module datapath -Detailed RTL Component Info : -+---Adders : - 2 Input 32 Bit Adders := 2 -+---Registers : - 32 Bit Registers := 1 -+---Muxes : - 2 Input 32 Bit Muxes := 7 - 2 Input 5 Bit Muxes := 3 -Module mips -Detailed RTL Component Info : -Module keyboard -Detailed RTL Component Info : -+---Adders : - 2 Input 4 Bit Adders := 1 -+---Registers : - 24 Bit Registers := 1 - 10 Bit Registers := 1 - 4 Bit Registers := 1 - 2 Bit Registers := 1 -+---Muxes : - 2 Input 24 Bit Muxes := 1 - 2 Input 1 Bit Muxes := 1 -Module hexto7seg -Detailed RTL Component Info : -+---Muxes : - 16 Input 8 Bit Muxes := 1 -Module display8digit -Detailed RTL Component Info : -+---Muxes : - 8 Input 8 Bit Muxes := 1 -Module smem -Detailed RTL Component Info : -Module dmem -Detailed RTL Component Info : -Module memIO -Detailed RTL Component Info : -+---Adders : - 2 Input 32 Bit Adders := 1 -+---Muxes : - 2 Input 32 Bit Muxes := 2 - 2 Input 24 Bit Muxes := 1 -Module xycounter -Detailed RTL Component Info : -+---Adders : - 2 Input 11 Bit Adders := 2 - 2 Input 10 Bit Adders := 2 -+---Registers : - 10 Bit Registers := 2 -+---Muxes : - 2 Input 1 Bit Muxes := 3 -Module vgatimer -Detailed RTL Component Info : -+---Adders : - 2 Input 2 Bit Adders := 1 -+---Registers : - 2 Bit Registers := 1 -Module bitmapmem -Detailed RTL Component Info : -Module vgadisplaydriver -Detailed RTL Component Info : -+---Muxes : - 2 Input 4 Bit Muxes := 3 ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 240 (col length:80) -BRAMs: 270 (col length: RAMB18 80 RAMB36 40) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- -Start Parallel Synthesis Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Start Cross Boundary Optimization ---------------------------------------------------------------------------------- -ROM "timer/xy/x" won't be mapped to RAM because it is too sparse. -ROM "timer/xy/y0" won't be mapped to RAM because it is too sparse. ---------------------------------------------------------------------------------- -Finished Cross Boundary Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Finished Parallel Reinference : Time (s): cpu = 00:00:16 ; elapsed = 00:00:22 . Memory (MB): peak = 582.031 ; gain = 424.074 - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- - -Distributed RAM: -+------------+-------------------+--------------------+----------------------+----------------------------------------------+-------------------+ -|Module Name | RTL Object | Inference Criteria | Size (depth X width) | Primitives | Hierarchical Name | -+------------+-------------------+--------------------+----------------------+----------------------------------------------+-------------------+ -|top | mips/dp/rf/rf_reg | Implied | 32 X 32 | RAM32M x 12 | top/ram__6 | -|top | io/smem/mem_reg | Implied | 2 K X 8 | RAM16X1D x 8 RAM32X1D x 8 RAM128X1D x 72 | top/ram__8 | -|top | io/dmem/mem_reg | Implied | 32 X 32 | RAM32X1S x 32 | top/ram__10 | -+------------+-------------------+--------------------+----------------------+----------------------------------------------+-------------------+ - -Note: The table shows RAMs generated at current stage. Some RAM generation could be reversed due to later optimizations. Multiple instantiated RAMs are reported only once. "Hierarchical Name" reflects the hierarchical modules names of the RAM and only part of it is displayed. ---------------------------------------------------------------------------------- -Finished RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[18] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[19] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[20] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[21] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[22] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[23] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[24] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[25] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[26] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[27] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[28] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[29] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[30] ) is unused and will be removed from module top. -WARNING: [Synth 8-3332] Sequential element (\io/disp/counter_reg[31] ) is unused and will be removed from module top. ---------------------------------------------------------------------------------- -Start Area Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Area Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Area Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Finished Parallel Area Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ -Finished Parallel Synthesis Optimization : Time (s): cpu = 00:00:29 ; elapsed = 00:00:36 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:42 ; elapsed = 00:00:49 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:42 ; elapsed = 00:00:49 . Memory (MB): peak = 582.031 ; gain = 424.074 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:55 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:55 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:55 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:56 ; elapsed = 00:01:06 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RAM, DSP and Shift Register Reporting ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+------------+------+ -| |Cell |Count | -+------+------------+------+ -|1 |BUFG | 1| -|2 |BUFGMUX | 4| -|3 |CARRY4 | 36| -|4 |LUT1 | 104| -|5 |LUT2 | 65| -|6 |LUT3 | 124| -|7 |LUT4 | 167| -|8 |LUT5 | 199| -|9 |LUT6 | 560| -|10 |MMCME2_BASE | 1| -|11 |MUXF7 | 27| -|12 |RAM128X1D | 72| -|13 |RAM16X1D | 8| -|14 |RAM32M | 12| -|15 |RAM32X1D | 8| -|16 |RAM32X1S | 32| -|17 |FDRE | 161| -|18 |IBUF | 4| -|19 |OBUF | 30| -+------+------------+------+ - -Report Instance Areas: -+------+----------------+--------------------+------+ -| |Instance |Module |Cells | -+------+----------------+--------------------+------+ -|1 |top | | 1615| -|2 | clkdv |clockdivider_Nexys4 | 13| -|3 | displaydriver |vgadisplaydriver | 99| -|4 | timer |vgatimer | 80| -|5 | xy |xycounter | 76| -|6 | io |memIO | 333| -|7 | disp |display8digit | 50| -|8 | dmem |dmem | 36| -|9 | kmem |keyboard | 143| -|10 | smem |smem | 104| -|11 | mips |mips | 1084| -|12 | dp |datapath | 1084| -|13 | rf |register_file | 1011| -|14 | rbouncer |debouncer | 52| -+------+----------------+--------------------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:56 ; elapsed = 00:01:07 . Memory (MB): peak = 680.348 ; gain = 522.391 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 14 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:55 . Memory (MB): peak = 680.348 ; gain = 186.336 -Synthesis Optimization Complete : Time (s): cpu = 00:00:56 ; elapsed = 00:01:07 . Memory (MB): peak = 680.348 ; gain = 522.391 -INFO: [Project 1-571] Translating synthesized netlist -INFO: [Netlist 29-17] Analyzing 177 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. -INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Project 1-111] Unisim Transformation Summary: - A total of 137 instances were transformed. - BUFGMUX => BUFGCTRL (inverted pins: CE0): 4 instances - MMCME2_BASE => MMCME2_ADV: 1 instances - RAM128X1D => RAM128X1D (RAMD64E, RAMD64E, MUXF7, MUXF7, RAMD64E, RAMD64E): 72 instances - RAM16X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 12 instances - RAM32X1D => RAM32X1D (RAMD32, RAMD32): 8 instances - RAM32X1S => RAM32X1S (RAMS32): 32 instances - -INFO: [Common 17-83] Releasing license: Synthesis -81 Infos, 19 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:00:56 ; elapsed = 00:01:07 . Memory (MB): peak = 680.348 ; gain = 503.051 -# write_checkpoint -noxdef top.dcp -# catch { report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb } -report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.172 . Memory (MB): peak = 680.348 ; gain = 0.000 -INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 08:01:16 2015... diff --git a/Project.runs/synth_1/top_utilization_synth.pb b/Project.runs/synth_1/top_utilization_synth.pb deleted file mode 100644 index 94a079362860ba185a63d57ac48481dabd04be07..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 231 zcmd;LGcqtV(KDIEtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zQ{EdaOm=UD7#g;_>=kBMQSROgT4g1Kr84D8NNfg}S%uMvp63nUAiml}iEYb_WU z7C8I`k_=8qEg2Z99M9S@Ff`cDwP#>B;PBKwEVR|}tqVxyURRJvs~d>j>kT6Bg2|;m GAT|I%p+P(V diff --git a/Project.runs/synth_1/top_utilization_synth.rpt b/Project.runs/synth_1/top_utilization_synth.rpt deleted file mode 100644 index 988fa68..0000000 --- a/Project.runs/synth_1/top_utilization_synth.rpt +++ /dev/null @@ -1,187 +0,0 @@ -Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2014.4 (win64) Build 1071353 Tue Nov 18 18:24:04 MST 2014 -| Date : Wed Apr 22 08:01:16 2015 -| Host : jrpotter running 64-bit major release (build 9200) -| Command : report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb -| Design : top -| Device : xc7a100t -| Design State : Synthesized -------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists - -1. Slice Logic --------------- - -+----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 1468 | 0 | 63400 | 2.31 | -| LUT as Logic | 1068 | 0 | 63400 | 1.68 | -| LUT as Memory | 400 | 0 | 19000 | 2.10 | -| LUT as Distributed RAM | 400 | 0 | | | -| LUT as Shift Register | 0 | 0 | | | -| Slice Registers | 161 | 0 | 126800 | 0.12 | -| Register as Flip Flop | 161 | 0 | 126800 | 0.12 | -| Register as Latch | 0 | 0 | 126800 | 0.00 | -| F7 Muxes | 171 | 0 | 31700 | 0.53 | -| F8 Muxes | 0 | 0 | 15850 | 0.00 | -+----------------------------+------+-------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 161 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Memory ---------- - -+----------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+----------------+------+-------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 135 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 135 | 0.00 | -| RAMB18 | 0 | 0 | 270 | 0.00 | -+----------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -3. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 240 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -4. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 34 | 0 | 210 | 16.19 | -| Bonded IPADs | 0 | 0 | 2 | 0.00 | -| PHY_CONTROL | 0 | 0 | 6 | 0.00 | -| PHASER_REF | 0 | 0 | 6 | 0.00 | -| OUT_FIFO | 0 | 0 | 24 | 0.00 | -| IN_FIFO | 0 | 0 | 24 | 0.00 | -| IDELAYCTRL | 0 | 0 | 6 | 0.00 | -| IBUFGDS | 0 | 0 | 202 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 24 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 24 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 300 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 4 | 0.00 | -| ILOGIC | 0 | 0 | 210 | 0.00 | -| OLOGIC | 0 | 0 | 210 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -5. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 5 | 0 | 32 | 15.62 | -| BUFIO | 0 | 0 | 24 | 0.00 | -| MMCME2_ADV | 1 | 0 | 6 | 16.66 | -| PLLE2_ADV | 0 | 0 | 6 | 0.00 | -| BUFMRCE | 0 | 0 | 12 | 0.00 | -| BUFHCE | 0 | 0 | 96 | 0.00 | -| BUFR | 0 | 0 | 24 | 0.00 | -+------------+------+-------+-----------+-------+ - - -6. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -7. Primitives -------------- - -+------------+------+---------------------+ -| Ref Name | Used | Functional Category | -+------------+------+---------------------+ -| LUT6 | 560 | LUT | -| RAMD64E | 288 | Distributed Memory | -| LUT5 | 199 | LUT | -| MUXF7 | 171 | MuxFx | -| LUT4 | 167 | LUT | -| FDRE | 161 | Flop & Latch | -| LUT3 | 124 | LUT | -| RAMD32 | 104 | Distributed Memory | -| LUT1 | 104 | LUT | -| LUT2 | 65 | LUT | -| RAMS32 | 56 | Distributed Memory | -| CARRY4 | 36 | CarryLogic | -| OBUF | 30 | IO | -| IBUF | 4 | IO | -| BUFGCTRL | 4 | Clock | -| MMCME2_ADV | 1 | Clock | -| BUFG | 1 | Clock | -+------------+------+---------------------+ - - -8. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -9. Instantiated Netlists ------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/Project.runs/synth_1/vivado.jou b/Project.runs/synth_1/vivado.jou deleted file mode 100644 index 09e7689..0000000 --- a/Project.runs/synth_1/vivado.jou +++ /dev/null @@ -1,10 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Wed Apr 22 08:00:07 2015 -# Process ID: 5712 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1/top.vds -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.runs/synth_1\vivado.jou -#----------------------------------------------------------- -source top.tcl diff --git a/Project.runs/synth_1/vivado.pb b/Project.runs/synth_1/vivado.pb deleted file mode 100644 index 078543b6fcccc4903fc991065cd57d58031a602d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 72162 zcmeHwYmgjSb{5rAYdqJ&7B)NH)$HT$thFO))YX|;&+grs*?wuJchpk5ACgAnag$w@ z-JPp?ZDy9#tu1WWz<>h>IJ{vSW9-EQei1waB8cGO;D>`C_)ieLg9Y;k{D&Vn_yc_R z=DYG{R%LZ|Rkfxoq^_#0%yZ8<_q^`w9P+Pbh*?uxt+qwgdYvw}RijQfRl}k;OsYOp z^@h6N(5PyEH)+TD$?Rw~{Xp01RBzFJ_S7E#=|IQbx=A(NI?@dM_)Kl+b=o#4Z^8w5 z?aK9B>GmvtP|1_)$9h9=9p`K9=Hk;@MJg>aVA7(LUr=7DYX@q#VJ*5Jlseg5S)6~& zADMrsKU3@Nc}0?o`Qm(CwbXeI)wJI@R_6Ruy=7^~m3a<)9$*8%=8u~VK`TE>t{vAZ zPYX)PIyg97kfb>!de@WL;=-I#KKdh_E5}!^&5-G4r<|9fB%Gd|0Q(7Lr+hZ-l+TEr z|6=Nt{RNc0oT%(7*+{GGFHTzDoac~-)ATQqAB3jAkcy?@6@9u{DOU8;v2rLae;HXR zQu5QXatI9{g_S=^K0bmgey$oCJyKis_Q3(=9@%m6`x-seTAHC+aNMd%nUCh~y49xp zjdtxRt?TNcp*G>TO-pUn@MDYJMeCZWAGWT|UcV$4l-sX9<6hUQHQ)f}l*$4e;Oy}% z9AJxFU1>L)XnPS61i5zk`b?=1xL^4ixnrKRtfM^}BAv6^9m@XC@%RCXsLGOL)y%_! zQk)a;p0y~;1+;ki_%d3okk_^?L<@TBkOm>MwlB%Wg+Sx%(Sjm-kN(vh`P!0YY0VCQ z+G>M4h=XWDuW2n)qX!6E4m8#3f=O@QFyEvgYaZ9?+B4k2FY#6iQuX!~#4gQtOZgmm zb(_%#ewhOA@LBSGE{V}!pInhEbA@8XL-1#FM0yXQSt^9kM+I5R5+T2Pe?3QLZkX4u z-k#;GR{lbcJomW2wR4ZIAzo}lzVvpBF47&nsnMI}twmbvbm`kvLP&>yMfw%0HPns? zDfBLuXr69p&9-quZ*DB(k&dQ5#m`DX$;%51c;rxpFuqMI#k^8fNR)kG+tuT%5Q%@2 zBcJB@p%Y#(xoEcQUBsoV519y;3)S1RZPh$6l^-FOAbe3+icYrScXmz9Fz3HvblMOT zV}7+=>o&EPWx8SKrqTX}RDH_{1zmn^D^2!)$4F zo6VcC6w5!)nP#vsY2(db<)umVYDpm5Wgk~$?px% z$S;uZ-`H5$SX1_vm$ugg4E%tU;(`>jX6PM=fMMB#lWt2l_2wR|MVvUiPG;q@JUoB) z!~EGG3jzKtFWp~#w7$CYet=I8Hh0!H)}O4cdi*jigQ-apyXxUq9+0mDT3NaG!GqnM z;@-;A_R7-gTA;1FOZT?d(zK(7+qp-ofp)fcmbP|wH}@Vbt?!&!BQ`Pe-s<|p^$;zJ zK=Dr#!;eVD~SOJ~r~`h9tCb8Tz=!D^tLQ7AeJQ?yOq4O0ZxNlpiyntOVe2U}*Qz}Z#<*^TIK#Die{ZBLG zJ#Q9@&%U$|f&+PKXy5GWhGw#rs#?3%!Z;kW4(1)Y-?eDF)i_~49O}=s7FL@s6-tuJ zrB9Q~&1S7B7{?9d-)m$>t_mJx*F|e96B$_-ljah@2e8;)t@XJ5OYrdXG9rEWEuoQGzU5kIbkZE%;KPw{PxUfLBP<{z96|Ikg6C? zOvIHXnv)>2{@w5@1?!Jx36cAMN_MlI2WRah&4CZ?>7WUBe$>#^Isj6($;DpQ<6#kY z+pu4#*{xyK!93_T8YhSgFBgi67Z`q#{85I>p5D@}ymf2|x_#WlD}`8FbEP_0s8;+? z@E1nZg!rC@jF$yGh!Op9vqnyoi=f#5x8cPe3zKz?Etb?YLqK|mJjqt}y~dIhATd-8 zhI%{UskC>=TY;&x&?1mO&KhQt{<|ZJ)&OookSYQu$H>aUL| z?1SirSc?Y5G15MbTndW&_l6e;?B-z3xT9J}0&UMcVshI`5QYS=+9&sq!WMOz?_1<2vNZ;w1`|X;mWQvDC$ov_C2azQjLi6HcmpQQHc(9u%te`%o+x)q7 zZ&$#T%r}3kjc&r`&-j^;zL;(3QE%z_@_z%lc|F>h#^`SxFj=Nr5no70TrUFN>ZYb*=?onn5;!$Y8H%xtGv$e%tQ z1ugb3jTmhvC=}z5C}N6nYtyBFLEilGh`dQM(~LPOmP)70st39ApNF?1SQ9jl^aBjo z1?to!kFs@KPE%P}khAjnzcQj^B{GtUR*KctY2*9ovejrG>NQn#jy!W3ou*z=*@|Z* zlP%GBHpZq;8{dPn_4~uyEk5_&#MwyIYKu%)=4(gnWRl(5G)vg2mNHxd1)hKjS&Eh6 zDdc`o&b~9EjmIV{@xYj|C&j|%G>xN!eEGEz`I5Ze!q>i*>t6ed*LxB`z9u|0wCD1waj+cL#BdNk z_eo$GH{F(P6Y-6JHJL|=terR`%M!ID8m8cm)eN?5GhC9kl8l@9gq?={J4k+U#OMke zNMm}MS>zP6*5l?)vS0))%=gA(VT1+)OKH#9h7mSW6etsdRMGe~zE8$$U2uE`hb)KP zC6dm(u!9u>j$|%Hteph&k{tJBY+e{HV>K@Wh*#prZW)@Fn4V_lMdXxY25!?O7(w&$ zuW?l9g=VyID5!(Hads-U8qR%K*uxvVhJ8_O1K~c~Yqn5u_nM7YrQuO4$r`=DGX2^6 zzW(xvJ|$rmhV^=iHHEP=CwbB)*zNyo_`y0d8$UZ#oyfj!;Do$rVc|JZvt1MIZ!;4! zm~OK^P_S?gPDF0zGcj&knUI<8oY(OQ*LNYEnU7Sx#u5k}eyih$;iChqL<$@ou=mUQ z3ID2rq#DDVOL!6nzDDE#@FPt>JhB3(2TDr1QxAV_MDv88%;TXT1Yss>k}FakZX@?^ z&`h0T<;7K~7#^iqmYP0Y53(qouH!UKQ|*YO*UWX@Yb-$)k`J#2L)ia&`a{k8147t| za3I0j^~bTNuo+%o#OQ-)Bo`CRPJ~OzauQUCzcZp?9WKsc_BF+_wWpFkzo7yE|MacM@U6IbpGm+D1UV|E`N2olrK~Q`Kx8A zk}oQW39OgGfyy27X|kp9V?`@R8nU$C*Q^E(A1)^5?li(cJ|^pL&GDD>W`7A^@_%wa zx$l9y-%83D8f~>l`Kz~%MCTRkf%Yfe7U^i3y zW~{@po2QS~Z-u4lfNTZ0l+DK^qW@N(;{IZe{OMdsa~~jye^Y;9&v$GdsmR|i$ETn# zpS224m|y#3ZmFd4&WU_ZJDT-fYXgkW!Wae1`@RM@=$1gWpkIB8qyimQ4<19 zDb-L@0?)#mQvAI4s66obdu_~GAWu0@FORvbLzeH49vJPWTWdlt+`eL0XBbr0=*L;P z33J{qJT=eiN1!~E^X67)m|tP(^S5|A;wn+VQl1MGqkw;B+a*!vH*H=dp~x~P*-ZTT z9QmA!qTZ&grb`_KVnY3k%@!V>56N;Om zyH4p4oP`bxA&wPzNFtARB9cNOUDaB&w zaZLGms4SA#*O9kNMXR#q1b^Zq z`}cF?3!Ya`!n!(ntbJ3pM!vk_IlP39<{VdwrBGifWxtjYrhdLCcBtE36jd@#7742F zK-y$k_JAmGjX#^CbO}XJEVaQh5R|<7O(uQLN<2Z-Z1=3y^Mq0-r6hg(9sY4;XX_rl ziCT@C!CW@1thq>6)+7|+Df*D`T%ZaHd)E27wEA!nv6h>x*A&EYOe27^rx7J`eO1HC z1CwLFA{F=RJV3}Px3Ab@{^W9@I>&UuRwe8!SifQJU=lxAR|pYCu%ZyYifak+`x= zrc)i}`30hiN--~0iUKj57G6!btD+T^cnvS=x?~t$ltDvPCFmK`(DjxV*-tT3-w(@Q zfqcQG2VNX=C2myjmX@1hQvOk&E^!O*DU(Z9LN~<@d@oe%^m7$Sf)h=tWvkXwr_(rL zy7Z>0S$hc8I`Fk8Z$@IlsDHVzS-hqna@B|2%Wve!&-oKT>TvA+xJVO)+oQb@V)z=^KG<7#$^j2pnux+ZD}1woJ7J|- z4+{x~pLVdodV)XX9;6R-C8Z5jz`20dnNO93;|cq479i#2V-3d3m4{Rm~cIQu$8fBQEJ0P1?vp@AM6I;rwXbXpn8%P&1t5sEuWTXEg9Sj$ zkYG1v3tBD*culK8i|a9DRIICK23ihwBg7@(Cr*uZh#}d69s=u8&2b2{=R`unmkDUk zW`;nIsxl$0J)0QJ+63t?2+$a1$;Lw=eNmgj+`68LS5%p)Pq9f&$+STfH%k+Jn)F+QK$^d z%gm#}aun<5ym|~{^hr^AmcjP;SumCbEO^1>4 zJn3M0PKc`A?D8)|r;R)u%DU5nY8FN+MW;oj+c82&c0ab>I!n&Pi1Xmd?&yS!!x=ZH z{MgtNjW5{P-kn@ZL_@q>6qKA0e_WWuEYL^!GbO9&6Obmpj(N(Ch*_o@ET~a>9YJN; z{S%SOGWv{)gM)>e8GdY-oT<9_TN$Qoe%D{DPB|GSHW6$T?Y{hJq|8D4?93jtHzAV} zbX1>Cv&SO5)6VZD#@rNsTHH%PLq2i{5Ief}lEIHnbu(stHk%h>XNW?H;Lj_Mu&2Y{ zOf&KZk7&m^)}Ljro*--7kZqh;ME#HJk6GQDu`wfx#@R=Wg9nLGLCMazhC*52xDKAc z8*E%hw%f7B)ypCIatOpU?(>d@q}h&8V&bB~@^+R=5EBLr9!5mD<(Mru$>_@=sMvb$ z?*{b8yMrxPlt0-@laE-+cs16>WxcTUQH+y#?PfM_+neDDo)2NfUW{TPPr}~a8 zKP8VsB$$h2b$b&hHI<@7Z`Rrk+Cb_h>u9km-6G34H_U#jgnwcOsF&p`txEh;p-hXo zd3q1`M<>TTEeY}B9CRmANQDvw{7R1e*_>zoAZlAQQBhAFI_E6TyPwsK$55hbv zlQ(RRtr%?_#9v1B5TuPe32|$RrTJ-?8YH#jNAg(;Cu9EjWIp2z0F7{SkH|{6xtA;z zz~AjtuwTxRKjUZONRXI#^%7-ceVMWWB<99~fwisDmZrCmD^zR2ZBCF^(YW>^bpe(j z=fas6*m%f!rA)PuP3i)XNVy1Q_D*asfMDhhMGVAqf^8q^2NrdQZTP@WXCSlD6#Ikd zW(~561a?Hpef20?#-6Wt=8XgV+cUI7)(Oa^ ztwT-&uO3Td@tFMyz0iqE8wF*99#fnO9r)_8^HKAL+T7c-PZr{dUgB(pSdPLZ=NP1C$8~tW4lw5|Vo1 zvAhiu`nxDhE&CQA0h6ruYXlNPHX-!Iu^pmoVV~w38R5PD&;6f7x4%V_wE3UUgoEb4 zIYWM7=6>7KkQ4L>=l0ZnoZds4ujeL{05gyzR<&rYYamLpSUT%NEl;=GuwS^#y~$2M z-$jNnPg9gkelBI!!qu>F}CO?jdD(f({Cd<&v?qDdhESnr^hvnSF4|eV` zXa107>rI$65GLj7@0(M9C*D*55I)y&0Qj}Gt$ni#*+DXGw-^GwdBeQLQrvZ0NOxD~ zUGiLSG;HZq9(tFJGeI!>u7G(@Z zp>LSXXvaQb<)Hu;DIe{Mm*Yox;hZ6?Naqb<`Me>loHv9E=MCZFc|*8#-ViRIH-sza z4dL_V>6G*4=?dq~(-ltX=_b6qxfC9tpa!2k8Mb6->UmiRnJ^3gM&GQ{U(Asg-e4la z$Ji-vByI~<_pr-pU`bV6p9HCZA(Td`e#U@|JO;f;~aWzF5q$j+A%F zTiGnSoK=47f7oXzQ6KGR{3TUSveIr_c&&ed%|vV!p%Pj zGjWl8*$H&qni{NLox@STj+3a$*F~ukUaI6D^l39HS^jxno4rafVz$QmilQQjf{F_l zQIPMv2YyO20>9bUYd@DGJug@l7?e+LM_t4e=OLz;uvdu+iQ}5J+EJ^Gye21f1LcOM zd*jH2d59WUp{=ZcDM!BLo1_RMV=YoCT5U&Ol;Q@^<*Jk~6svwc#gd}r3k!0R@tiQD zqQZ8uWsN(-(87G+OX6msvl``0SV*F~z-)_zW2z``gmQe?H!#IAK4I?N51XO|a>M4{ zU2LeZv=%FExU8W}s75dN>BgZ+()43tetwnQ^ep=YOI-#=-;-DxcOVIj#cq%Ln$^Ij zPrB03)K<5X1ZcvlHsR(I&H`OTw<6MZMElY-dwkk2PE|Btr?X{GZ%U?z=}R*pRN(o_&bC z*JN8K_v!}reYf^n8a6*VT#%f1N~PYWYOT5FRK2R4NlctDITLRF@AaABzmg+A?a##~@${2xlP^Zo7xR1E znkJc**xI$)epheQnW5UnpxQg;bCLvX!bW1k&HwE_8}&;$^6j9FieYHHfr=ideYvLl zF3vs%;x>FtQmuU2da}Yx216y)XoYd_HL#PvQV33JMkPT|D@)EIMqF`zWXku^JgvJhxXgoMqZI zaa1mu+jqmJZlHf%lxg%qai#yC+L0S=uCXRNaklglzTJ2s-iIX#eUEaO> zo;z5#@sv~I)U(gp_86p%-Nzi54XKzGlAXG)x3aXg^ z78cS%TJZQ3I8BWrWo0QXB>Nn2Z)0O+V@=sxUfN!BW>r#4CQ?;0f*_T5ZI;=5Lc*%l zIPuQ!;S8vA0^5O9QBp(VozEfhbKVZeDXpf4#5!d8ghvDX252EQ^OpG|9O>ohK)_90Iec|TeaJz=T_n521 zWNMO6i#9P1Djc^NO!~kucBl&x!7f5vll?@L3fG@fT5CM5Kl4ELM3r=Hg)gLJRL^m= zl!jAv_K*NJe8nYgfIkpW;do$%zQt0HIdrflg5UXNPDM3Yi3CkJuGxlseB!h~dw#-Y z_mXR^lj8_M6OPZORB!vR01f#uu!I$UF)DC?J#Ak&&YZzwymw*toQ|m0^+TjZuwR@} zrPPdaygY-!GJ80{XfOE1yU0Ea2)G1{a@;?A_-U{SuO}s`2&Z zJNSgfWJ|AM!^?AbshQ=Nk&E8<#FR3ksa3z#;DH*th0#0QT5SJFlHkAswxWuUZASV> zu9owKLOCh^`JKLr^Y7%yPsJe1Qad*^D<0dDaMCEs0iaKog#5CXmF zCbBUp_TRB&MVMaGnn-fP;LXUD+pjqA6(pXKD-}WFnOS9FPOf~{~4+779Fz;aoVZeMD5 zEF}izD+PH@F2=UQ217%(BM;Gz-`xgy)8$$YYV;NoS;2MPM6x2#ge#4Cnk3!zgZLO}e#+%2|5Lv{blR6VC|LTt8$!d0_)C zC~VSz!!0N_*n)zms{IeKc?$QDjs6GR2NU17od)oZ29V~j4=o!Nw~e9EV%h5ahqgIt zb`!{8=QklzXefv53+)zpZA-%*OOq*dL$7JrTfGQzTP=iI znX48&)&9L4d5@`d>!5AkVZ!fjq~c##a!T&=+^al^7w&SlT_L3|n`?#$Lhi0zzCHsx z_3AVInObjKwTAK#GEDeKQjFZ6SynC0Dtl*sCL5`C%)H%o7HlWel^ye|v_k-!ONWUxry&q_s|$zRma*%%Fg; zVkgY+J5*uY*)!zY)$5m(a@FgyH^>hJkwMz~SL)hF=@`@7%2p+|cC8>~?N`jtxaz(Dp0aLKyDnEkx(>Y?=S^M_7mfp}`aQB7Wf_;p! zQ58lil9ZRB^kPOuq7^AGNfP?c<(1bVf0F$1wPSnj4%|iZLZpw&b8~%3k;?Av%5`$( qA(ux1<=7PY2p(;zV^Ac;ma2=AyohRViX@k!ciXIx%0Pg}4F4Y<+Sz^p diff --git a/Project.sim/sim_1/behav/Lab10_test_sqr.sv b/Project.sim/sim_1/behav/Lab10_test_sqr.sv deleted file mode 100644 index 94fa3ac..0000000 --- a/Project.sim/sim_1/behav/Lab10_test_sqr.sv +++ /dev/null @@ -1,246 +0,0 @@ -`timescale 1ns / 1ps -////////////////////////////////////////////////////////////////////////////////// -// -// Montek Singh -// 3/26/2015 -// -// This is a self-checking tester for your full MIPS processor -// (Lab 10 and Project). Use the 2nd test program provided under Lab 10, -// i.e., initialize instruction memory with test2.txt, and data memory -// with test2_data.txt. -// -// Use this tester carefully! The names of your top-level input/output -// and internal signals may be different, so modify all of signal names on the -// right-hand-side of the "wire" assigments appearing above the uut -// instantiation. Observe that the uut itself only has clock and reset inputs -// now, and no debug outputs. Instead, the internal signals are "pulled out" -// using the member selection, or dot, operator ("."). -// -// If you decide not to use some of these internal signals for debugging, you -// may comment the relevant lines out. Be sure to comment out the -// corresponding "ERROR_*" lines below as well. -// -////////////////////////////////////////////////////////////////////////////////// - - -module mips_test_sqr; - - // Inputs - reg clk; - reg reset; - - // Signals inside top-level module uut - wire [31:0] pc =uut.pc; // PC - wire [31:0] instr =uut.instr; // instr coming out of instr mem - wire [31:0] mem_addr =uut.mem_addr; // addr sent to data mem - wire mem_wr =uut.mem_wr; // write enable for data mem - wire [31:0] mem_readdata =uut.mem_readdata; // data read from data mem - wire [31:0] mem_writedata =uut.mem_writedata; // write data for data mem - - // Signals inside module uut.mips - wire werf =uut.mips.werf; // WERF = write enable for register file - wire [4:0] alufn =uut.mips.alufn; // ALU function - wire Z =uut.mips.Z; // Zero flag - - // Signals inside module uut.mips.dp (datapath) - wire [31:0] ReadData1 =uut.mips.dp.ReadData1; // Reg[rs] - wire [31:0] ReadData2 =uut.mips.dp.ReadData2; // Reg[rt] - wire [31:0] alu_result =uut.mips.dp.alu_result; // ALU's output - wire [4:0] reg_writeaddr =uut.mips.dp.reg_writeaddr; // destination register - wire [31:0] reg_writedata =uut.mips.dp.reg_writedata; // write data for register file - wire [31:0] signImm =uut.mips.dp.signImm; // sign-/zero-extended immediate - wire [31:0] aluA =uut.mips.dp.aluA; // operand A for ALU - wire [31:0] aluB =uut.mips.dp.aluB; // operand B for ALU - - // Signals inside module uut.mips.c (controller) - wire [1:0] pcsel =uut.mips.c.pcsel; - wire [1:0] wasel =uut.mips.c.wasel; - wire sext =uut.mips.c.sext; - wire bsel =uut.mips.c.bsel; - wire [1:0] wdsel =uut.mips.c.wdsel; - wire wr =uut.mips.c.wr; - wire [1:0] asel =uut.mips.c.asel; - - // Display Wires - wire hsync, vsync; - wire [3:0] red, green, blue; - - // Instantiate the Unit Under Test (UUT) - top uut( - .clk(clk), .reset(reset), - .hsync(hsync), .vsync(vsync), - .red(red), .green(green), .blue(blue) - ); - - initial begin - // Initialize Inputs - clk = 0; - reset = 1; - end - - initial begin - #0.5 clk = 0; - forever - #0.5 clk = ~clk; - end - - initial begin - #50 $finish; - end - - - - // SELF-CHECKING CODE - - /*selfcheck c(); - - wire [31:0] c_pc=c.pc; - wire [31:0] c_instr=c.instr; - wire [31:0] c_mem_addr=c.mem_addr; - wire c_mem_wr=c.mem_wr; - wire [31:0] c_mem_readdata=c.mem_readdata; - wire [31:0] c_mem_writedata=c.mem_writedata; - wire c_werf=c.werf; - wire [4:0] c_alufn=c.alufn; - wire c_Z=c.Z; - wire [31:0] c_ReadData1=c.ReadData1; - wire [31:0] c_ReadData2=c.ReadData2; - wire [31:0] c_alu_result=c.alu_result; - wire [4:0] c_reg_writeaddr=c.reg_writeaddr; - wire [31:0] c_reg_writedata=c.reg_writedata; - wire [31:0] c_signImm=c.signImm; - wire [31:0] c_aluA=c.aluA; - wire [31:0] c_aluB=c.aluB; - wire [1:0] c_pcsel=c.pcsel; - wire [1:0] c_wasel=c.wasel; - wire c_sext=c.sext; - wire c_bsel=c.bsel; - wire [1:0] c_wdsel=c.wdsel; - wire c_wr=c.wr; - wire [1:0] c_asel=c.asel; - - - function mismatch; // some trickery needed to match two values with don't cares - input p, q; // mismatch in a bit position is ignored if q has an 'x' in that bit - integer p, q; - mismatch = (((p ^ q) ^ q) !== q); - endfunction - - wire ERROR = ERROR_pc | ERROR_instr | ERROR_mem_addr | ERROR_mem_wr | ERROR_mem_readdata - | ERROR_mem_writedata | ERROR_werf | ERROR_alufn | ERROR_Z - | ERROR_ReadData1 | ERROR_ReadData2 | ERROR_alu_result | ERROR_reg_writeaddr - | ERROR_reg_writedata | ERROR_signImm | ERROR_aluA | ERROR_aluB - | ERROR_pcsel | ERROR_wasel | ERROR_sext | ERROR_bsel | ERROR_wdsel | ERROR_wr | ERROR_asel; - - - wire ERROR_pc = mismatch(pc, c.pc) ? 1'bx : 1'b0; - wire ERROR_instr = mismatch(instr, c.instr) ? 1'bx : 1'b0; - wire ERROR_mem_addr = mismatch(mem_addr, c.mem_addr) ? 1'bx : 1'b0; - wire ERROR_mem_wr = mismatch(mem_wr, c.mem_wr) ? 1'bx : 1'b0; - wire ERROR_mem_readdata = mismatch(mem_readdata, c.mem_readdata) ? 1'bx : 1'b0; - wire ERROR_mem_writedata = c.mem_wr & (mismatch(mem_writedata, c.mem_writedata) ? 1'bx : 1'b0); - wire ERROR_werf = mismatch(werf, c.werf) ? 1'bx : 1'b0; - wire ERROR_alufn = mismatch(alufn, c.alufn) ? 1'bx : 1'b0; - wire ERROR_Z = mismatch(Z, c.Z) ? 1'bx : 1'b0; - wire ERROR_ReadData1 = mismatch(ReadData1, c.ReadData1) ? 1'bx : 1'b0; - wire ERROR_ReadData2 = mismatch(ReadData2, c.ReadData2) ? 1'bx : 1'b0; - wire ERROR_alu_result = mismatch(alu_result, c.alu_result) ? 1'bx : 1'b0; - wire ERROR_reg_writeaddr = c.werf & (mismatch(reg_writeaddr, c.reg_writeaddr) ? 1'bx : 1'b0); - wire ERROR_reg_writedata = c.werf & (mismatch(reg_writedata, c.reg_writedata) ? 1'bx : 1'b0); - wire ERROR_signImm = mismatch(signImm, c.signImm) ? 1'bx : 1'b0; - wire ERROR_aluA = mismatch(aluA, c.aluA) ? 1'bx : 1'b0; - wire ERROR_aluB = mismatch(aluB, c.aluB) ? 1'bx : 1'b0; - wire ERROR_pcsel = mismatch(pcsel, c.pcsel) ? 1'bx : 1'b0; - wire ERROR_wasel = c.werf & (mismatch(wasel, c.wasel) ? 1'bx : 1'b0); - wire ERROR_sext = mismatch(sext, c.sext) ? 1'bx : 1'b0; - wire ERROR_bsel = mismatch(bsel, c.bsel) ? 1'bx : 1'b0; - wire ERROR_wdsel = mismatch(wdsel, c.wdsel) ? 1'bx : 1'b0; - wire ERROR_wr = mismatch(wr, c.wr) ? 1'bx : 1'b0; - wire ERROR_asel = mismatch(asel, c.asel) ? 1'bx : 1'b0; - - - initial begin - $monitor("#%02d {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h%h, 32'h%h, 32'h%h, 1'b%b, 32'h%h, 32'h%h, 1'b%b, 5'b%b, 1'b%b, 32'h%h, 32'h%h, 32'h%h, 5'h%h, 32'h%h, 32'h%h, 32'h%h, 32'h%h, 2'b%b, 2'b%b, 1'b%b, 1'b%b, 2'b%b, 1'b%b, 2'b%b};", - $time, pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel); - end*/ - -endmodule - - - -// CHECKER MODULE -module selfcheck(); - reg [31:0] pc; - reg [31:0] instr; - reg [31:0] mem_addr; - reg mem_wr; - reg [31:0] mem_readdata; - reg [31:0] mem_writedata; - reg werf; - reg [4:0] alufn; - reg Z; - reg [31:0] ReadData1; - reg [31:0] ReadData2; - reg [31:0] alu_result; - reg [4:0] reg_writeaddr; - reg [31:0] reg_writedata; - reg [31:0] signImm; - reg [31:0] aluA; - reg [31:0] aluB; - reg [1:0] pcsel; - reg [1:0] wasel; - reg sext; - reg bsel; - reg [1:0] wdsel; - reg wr; - reg [1:0] asel; - -initial begin -fork -#00 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000000, 32'h201d003c, 32'h0000003c, 1'b0, 32'hxxxxxxxx, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'hxxxxxxxx, 32'h0000003c, 5'h1d, 32'h0000003c, 32'h0000003c, 32'h00000000, 32'h0000003c, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#01 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000004, 32'h8c040004, 32'h00000004, 1'b0, 32'h00000003, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'hxxxxxxxx, 32'h00000004, 5'h04, 32'h00000003, 32'h00000004, 32'h00000000, 32'h00000004, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#02 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000008, 32'h0c000005, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b1, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'h1f, 32'h0000000c, 32'h00000005, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'b10, 1'bx, 1'bx, 2'b00, 1'b0, 2'bxx}; -#03 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000014, 32'h23bdfff8, 32'h00000034, 1'b0, 32'hxxxxxxxx, 32'h0000003c, 1'b1, 5'b0xx01, 1'b0, 32'h0000003c, 32'h0000003c, 32'h00000034, 5'h1d, 32'h00000034, 32'hfffffff8, 32'h0000003c, 32'hfffffff8, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#04 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000018, 32'hafbf0004, 32'h00000038, 1'b1, 32'hxxxxxxxx, 32'h0000000c, 1'b0, 5'b0xx01, 1'b0, 32'h00000034, 32'h0000000c, 32'h00000038, 5'hxx, 32'hxxxxxxxx, 32'h00000004, 32'h00000034, 32'h00000004, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#05 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000001c, 32'hafa40000, 32'h00000034, 1'b1, 32'hxxxxxxxx, 32'h00000003, 1'b0, 5'b0xx01, 1'b0, 32'h00000034, 32'h00000003, 32'h00000034, 5'hxx, 32'hxxxxxxxx, 32'h00000000, 32'h00000034, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#06 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000020, 32'h28880002, 32'h00000000, 1'b0, 32'h00000000, 32'hxxxxxxxx, 1'b1, 5'b1x011, 1'b1, 32'h00000003, 32'hxxxxxxxx, 32'h00000000, 5'h08, 32'h00000000, 32'h00000002, 32'h00000003, 32'h00000002, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#07 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000024, 32'h11000002, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b0, 5'b1xx01, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'hxx, 32'hxxxxxxxx, 32'h00000002, 32'h00000000, 32'h00000000, 2'b01, 2'bxx, 1'b1, 1'b0, 2'bxx, 1'b0, 2'b00}; -#08 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000030, 32'h2084ffff, 32'h00000002, 1'b0, 32'h00000000, 32'h00000003, 1'b1, 5'b0xx01, 1'b0, 32'h00000003, 32'h00000003, 32'h00000002, 5'h04, 32'h00000002, 32'hffffffff, 32'h00000003, 32'hffffffff, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#09 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000034, 32'h0c000005, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b1, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'h1f, 32'h00000038, 32'h00000005, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'b10, 1'bx, 1'bx, 2'b00, 1'b0, 2'bxx}; -#10 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000014, 32'h23bdfff8, 32'h0000002c, 1'b0, 32'hxxxxxxxx, 32'h00000034, 1'b1, 5'b0xx01, 1'b0, 32'h00000034, 32'h00000034, 32'h0000002c, 5'h1d, 32'h0000002c, 32'hfffffff8, 32'h00000034, 32'hfffffff8, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#11 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000018, 32'hafbf0004, 32'h00000030, 1'b1, 32'hxxxxxxxx, 32'h00000038, 1'b0, 5'b0xx01, 1'b0, 32'h0000002c, 32'h00000038, 32'h00000030, 5'hxx, 32'hxxxxxxxx, 32'h00000004, 32'h0000002c, 32'h00000004, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#12 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000001c, 32'hafa40000, 32'h0000002c, 1'b1, 32'hxxxxxxxx, 32'h00000002, 1'b0, 5'b0xx01, 1'b0, 32'h0000002c, 32'h00000002, 32'h0000002c, 5'hxx, 32'hxxxxxxxx, 32'h00000000, 32'h0000002c, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#13 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000020, 32'h28880002, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'b1x011, 1'b1, 32'h00000002, 32'h00000000, 32'h00000000, 5'h08, 32'h00000000, 32'h00000002, 32'h00000002, 32'h00000002, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#14 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000024, 32'h11000002, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b0, 5'b1xx01, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'hxx, 32'hxxxxxxxx, 32'h00000002, 32'h00000000, 32'h00000000, 2'b01, 2'bxx, 1'b1, 1'b0, 2'bxx, 1'b0, 2'b00}; -#15 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000030, 32'h2084ffff, 32'h00000001, 1'b0, 32'h00000000, 32'h00000002, 1'b1, 5'b0xx01, 1'b0, 32'h00000002, 32'h00000002, 32'h00000001, 5'h04, 32'h00000001, 32'hffffffff, 32'h00000002, 32'hffffffff, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#16 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000034, 32'h0c000005, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b1, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'h1f, 32'h00000038, 32'h00000005, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'b10, 1'bx, 1'bx, 2'b00, 1'b0, 2'bxx}; -#17 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000014, 32'h23bdfff8, 32'h00000024, 1'b0, 32'hxxxxxxxx, 32'h0000002c, 1'b1, 5'b0xx01, 1'b0, 32'h0000002c, 32'h0000002c, 32'h00000024, 5'h1d, 32'h00000024, 32'hfffffff8, 32'h0000002c, 32'hfffffff8, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#18 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000018, 32'hafbf0004, 32'h00000028, 1'b1, 32'hxxxxxxxx, 32'h00000038, 1'b0, 5'b0xx01, 1'b0, 32'h00000024, 32'h00000038, 32'h00000028, 5'hxx, 32'hxxxxxxxx, 32'h00000004, 32'h00000024, 32'h00000004, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#19 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000001c, 32'hafa40000, 32'h00000024, 1'b1, 32'hxxxxxxxx, 32'h00000001, 1'b0, 5'b0xx01, 1'b0, 32'h00000024, 32'h00000001, 32'h00000024, 5'hxx, 32'hxxxxxxxx, 32'h00000000, 32'h00000024, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#20 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000020, 32'h28880002, 32'h00000001, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'b1x011, 1'b0, 32'h00000001, 32'h00000000, 32'h00000001, 5'h08, 32'h00000001, 32'h00000002, 32'h00000001, 32'h00000002, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#21 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000024, 32'h11000002, 32'h00000001, 1'b0, 32'h00000000, 32'h00000000, 1'b0, 5'b1xx01, 1'b0, 32'h00000001, 32'h00000000, 32'h00000001, 5'hxx, 32'hxxxxxxxx, 32'h00000002, 32'h00000001, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b0, 2'bxx, 1'b0, 2'b00}; -#22 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000028, 32'h00041020, 32'h00000001, 1'b0, 32'h00000000, 32'h00000001, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000001, 32'h00000001, 5'h02, 32'h00000001, 32'h00001020, 32'h00000000, 32'h00000001, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#23 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000002c, 32'h08000012, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000012, 32'hxxxxxxxx, 32'h000000XX, 2'b10, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#24 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000048, 32'h8fbf0004, 32'h00000028, 1'b0, 32'h00000038, 32'h00000038, 1'b1, 5'b0xx01, 1'b0, 32'h00000024, 32'h00000038, 32'h00000028, 5'h1f, 32'h00000038, 32'h00000004, 32'h00000024, 32'h00000004, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#25 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000004c, 32'h23bd0008, 32'h0000002c, 1'b0, 32'h00000002, 32'h00000024, 1'b1, 5'b0xx01, 1'b0, 32'h00000024, 32'h00000024, 32'h0000002c, 5'h1d, 32'h0000002c, 32'h00000008, 32'h00000024, 32'h00000008, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#26 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000050, 32'h03e00008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000038, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b11, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#27 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000038, 32'h8fa40000, 32'h0000002c, 1'b0, 32'h00000002, 32'h00000001, 1'b1, 5'b0xx01, 1'b0, 32'h0000002c, 32'h00000001, 32'h0000002c, 5'h04, 32'h00000002, 32'h00000000, 32'h0000002c, 32'h00000000, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#28 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000003c, 32'h00441020, 32'h00000003, 1'b0, 32'h00000000, 32'h00000002, 1'b1, 5'b0xx01, 1'b0, 32'h00000001, 32'h00000002, 32'h00000003, 5'h02, 32'h00000003, 32'h00001020, 32'h00000001, 32'h00000002, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#29 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000040, 32'h00441020, 32'h00000005, 1'b0, 32'h00000003, 32'h00000002, 1'b1, 5'b0xx01, 1'b0, 32'h00000003, 32'h00000002, 32'h00000005, 5'h02, 32'h00000005, 32'h00001020, 32'h00000003, 32'h00000002, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#30 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000044, 32'h2042ffff, 32'h00000004, 1'b0, 32'h00000003, 32'h00000005, 1'b1, 5'b0xx01, 1'b0, 32'h00000005, 32'h00000005, 32'h00000004, 5'h02, 32'h00000004, 32'hffffffff, 32'h00000005, 32'hffffffff, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#31 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000048, 32'h8fbf0004, 32'h00000030, 1'b0, 32'h00000038, 32'h00000038, 1'b1, 5'b0xx01, 1'b0, 32'h0000002c, 32'h00000038, 32'h00000030, 5'h1f, 32'h00000038, 32'h00000004, 32'h0000002c, 32'h00000004, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#32 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000004c, 32'h23bd0008, 32'h00000034, 1'b0, 32'h00000003, 32'h0000002c, 1'b1, 5'b0xx01, 1'b0, 32'h0000002c, 32'h0000002c, 32'h00000034, 5'h1d, 32'h00000034, 32'h00000008, 32'h0000002c, 32'h00000008, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#33 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000050, 32'h03e00008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000038, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b11, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#34 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000038, 32'h8fa40000, 32'h00000034, 1'b0, 32'h00000003, 32'h00000002, 1'b1, 5'b0xx01, 1'b0, 32'h00000034, 32'h00000002, 32'h00000034, 5'h04, 32'h00000003, 32'h00000000, 32'h00000034, 32'h00000000, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#35 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000003c, 32'h00441020, 32'h00000007, 1'b0, 32'h00000003, 32'h00000003, 1'b1, 5'b0xx01, 1'b0, 32'h00000004, 32'h00000003, 32'h00000007, 5'h02, 32'h00000007, 32'h00001020, 32'h00000004, 32'h00000003, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#36 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000040, 32'h00441020, 32'h0000000a, 1'b0, 32'hxxxxxxxx, 32'h00000003, 1'b1, 5'b0xx01, 1'b0, 32'h00000007, 32'h00000003, 32'h0000000a, 5'h02, 32'h0000000a, 32'h00001020, 32'h00000007, 32'h00000003, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#37 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000044, 32'h2042ffff, 32'h00000009, 1'b0, 32'hxxxxxxxx, 32'h0000000a, 1'b1, 5'b0xx01, 1'b0, 32'h0000000a, 32'h0000000a, 32'h00000009, 5'h02, 32'h00000009, 32'hffffffff, 32'h0000000a, 32'hffffffff, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#38 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000048, 32'h8fbf0004, 32'h00000038, 1'b0, 32'h0000000c, 32'h00000038, 1'b1, 5'b0xx01, 1'b0, 32'h00000034, 32'h00000038, 32'h00000038, 5'h1f, 32'h0000000c, 32'h00000004, 32'h00000034, 32'h00000004, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#39 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000004c, 32'h23bd0008, 32'h0000003c, 1'b0, 32'hxxxxxxxx, 32'h00000034, 1'b1, 5'b0xx01, 1'b0, 32'h00000034, 32'h00000034, 32'h0000003c, 5'h1d, 32'h0000003c, 32'h00000008, 32'h00000034, 32'h00000008, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#40 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000050, 32'h03e00008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h0000000c, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b11, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#41 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000000c, 32'hac020000, 32'h00000000, 1'b1, 32'h00000000, 32'h00000009, 1'b0, 5'b0xx01, 1'b1, 32'h00000000, 32'h00000009, 32'h00000000, 5'hxx, 32'hxxxxxxxx, 32'h00000000, 32'h00000000, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#42 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000010, 32'h08000004, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000004, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -join -end - -endmodule diff --git a/Project.sim/sim_1/behav/Project_screentest_nopause.sv b/Project.sim/sim_1/behav/Project_screentest_nopause.sv deleted file mode 100644 index f818212..0000000 --- a/Project.sim/sim_1/behav/Project_screentest_nopause.sv +++ /dev/null @@ -1,308 +0,0 @@ -`timescale 1ns / 1ps -////////////////////////////////////////////////////////////////////////////////// -// -// Montek Singh -// 4/15/2015 -// -// PLEASE README! -// ============== -// -// This is a self-checking tester for your full MIPS processor -// plus memory-mapped IO. -// -// Use this tester carefully! The names of your top-level input/output -// and internal signals may be different, so modify all of signal names on the -// right-hand-side of the "wire" assigments appearing above the uut -// instantiation. Observe that the uut itself only has clock and reset inputs -// now, and no debug outputs. Also, the parameters specifying the names of the -// memory initialization files must match the actual file names. -// -// If you decide not to use some of these internal signals for debugging, you -// may comment the relevant lines out. Be sure to comment out the -// corresponding "ERROR_*" lines below as well. -// -// Finally, note that in my bitmap memory, each 12-bit color is encoded as -// RRRRGGGGBBBB (i.e., red is most significant). If you have chosen a different -// order for the red/green/blue color values, you may see ERROR signals for the -// colors light up, but there is no error if you are consistent with your -// RGB ordering. -// -////////////////////////////////////////////////////////////////////////////////// - - -module project_screentest; - - // Inputs - reg clk; - reg reset; - - // Signals inside top-level module uut - wire [31:0] pc =uut.pc; // PC - wire [31:0] instr =uut.instr; // instr coming out of instr mem - wire [31:0] mem_addr =uut.mem_addr; // addr sent to data mem - wire mem_wr =uut.mem_wr; // write enable for data mem - wire [31:0] mem_readdata =uut.mem_readdata; // data read from data mem - wire [31:0] mem_writedata =uut.mem_writedata; // write data for data mem - - // Signals inside module uut.mips - wire werf =uut.mips.werf; // WERF = write enable for register file - wire [4:0] alufn =uut.mips.alufn; // ALU function - wire Z =uut.mips.Z; // Zero flag - - // Signals inside module uut.mips.dp (datapath) - wire [31:0] ReadData1 =uut.mips.dp.ReadData1; // Reg[rs] - wire [31:0] ReadData2 =uut.mips.dp.ReadData2; // Reg[rt] - wire [31:0] alu_result =uut.mips.dp.alu_result; // ALU's output - wire [4:0] reg_writeaddr =uut.mips.dp.reg_writeaddr; // destination register - wire [31:0] reg_writedata =uut.mips.dp.reg_writedata; // write data for register file - wire [31:0] signImm =uut.mips.dp.signImm; // sign-/zero-extended immediate - wire [31:0] aluA =uut.mips.dp.aluA; // operand A for ALU - wire [31:0] aluB =uut.mips.dp.aluB; // operand B for ALU - - // Signals inside module uut.mips.c (controller) - wire [1:0] pcsel =uut.mips.c.pcsel; - wire [1:0] wasel =uut.mips.c.wasel; - wire sext =uut.mips.c.sext; - wire bsel =uut.mips.c.bsel; - wire [1:0] wdsel =uut.mips.c.wdsel; - wire wr =uut.mips.c.wr; - wire [1:0] asel =uut.mips.c.asel; - - // Signals related to module memIO (memory + memory-mapped IO) - wire [10:0] smem_addr =uut.smem_addr; // address from vgadisplaydriver to access screen mem - wire [3:0] charcode =uut.charcode; // character code returned by screen mem - wire dmem_wr =uut.memIO.dmem_wr; - wire smem_wr =uut.memIO.smem_wr; - - // Signals related to module vgadisplaydriver (display driver) - wire hsync =uut.hsync; - wire vsync =uut.vsync; - wire [3:0] red =uut.red; - wire [3:0] green =uut.green; - wire [3:0] blue =uut.blue; - wire [9:0] x =uut.displaydriver.x; - wire [9:0] y =uut.displaydriver.y; - wire [11:0] bmem_addr =uut.displaydriver.bitmapAddr; - wire [11:0] bmem_color =uut.displaydriver.colorValue; - - - // Instantiate the Unit Under Test (UUT) - top #("imem.txt", "dmem.txt", "smem.txt", "bmem.txt") uut( - .clk(clk), - .reset(reset) - ); - -// -// CHECK ALL VALUES ABOVE THIS LINE -// YOU SHOULD NOT NEED TO MODIFY ANYTHING BELOW -// - - initial begin - // Initialize Inputs - clk = 0; - reset = 1; - end - - initial begin - #0.5 clk = 0; - forever - #0.5 clk = ~clk; - end - - initial begin - #50 $finish; - end - - - - // SELF-CHECKING CODE - - selfcheck c(); - - wire [31:0] c_pc=c.pc; - wire [31:0] c_instr=c.instr; - wire [31:0] c_mem_addr=c.mem_addr; - wire c_mem_wr=c.mem_wr; - wire [31:0] c_mem_readdata=c.mem_readdata; - wire [31:0] c_mem_writedata=c.mem_writedata; - wire c_werf=c.werf; - wire [4:0] c_alufn=c.alufn; - wire c_Z=c.Z; - wire [31:0] c_ReadData1=c.ReadData1; - wire [31:0] c_ReadData2=c.ReadData2; - wire [31:0] c_alu_result=c.alu_result; - wire [4:0] c_reg_writeaddr=c.reg_writeaddr; - wire [31:0] c_reg_writedata=c.reg_writedata; - wire [31:0] c_signImm=c.signImm; - wire [31:0] c_aluA=c.aluA; - wire [31:0] c_aluB=c.aluB; - wire [1:0] c_pcsel=c.pcsel; - wire [1:0] c_wasel=c.wasel; - wire c_sext=c.sext; - wire c_bsel=c.bsel; - wire [1:0] c_wdsel=c.wdsel; - wire c_wr=c.wr; - wire [1:0] c_asel=c.asel; - wire [10:0] c_smem_addr=c.smem_addr; - wire [3:0] c_charcode=c.charcode; - wire c_dmem_wr=c.dmem_wr; - wire c_smem_wr=c.smem_wr; - wire c_hsync=c.hsync; - wire c_vsync=c.vsync; - wire [3:0] c_red=c.red; - wire [3:0] c_green=c.green; - wire [3:0] c_blue=c.blue; - wire [9:0] c_x=c.x; - wire [9:0] c_y=c.x; - wire [11:0] c_bmem_addr=c.bmem_addr; - wire [11:0] c_bmem_color=c.bmem_color; - - - function mismatch; // some trickery needed to match two values with don't cares - input p, q; // mismatch in a bit position is ignored if q has an 'x' in that bit - integer p, q; - mismatch = (((p ^ q) ^ q) !== q); - endfunction - - wire ERROR = ERROR_pc | ERROR_instr | ERROR_mem_addr | ERROR_mem_wr | ERROR_mem_readdata - | ERROR_mem_writedata | ERROR_werf | ERROR_alufn | ERROR_Z - | ERROR_ReadData1 | ERROR_ReadData2 | ERROR_alu_result | ERROR_reg_writeaddr - | ERROR_reg_writedata | ERROR_signImm | ERROR_aluA | ERROR_aluB - | ERROR_pcsel | ERROR_wasel | ERROR_sext | ERROR_bsel | ERROR_wdsel | ERROR_wr | ERROR_asel - | ERROR_smem_addr | ERROR_charcode | ERROR_dmem_wr | ERROR_smem_wr | ERROR_hsync | ERROR_vsync - | ERROR_red | ERROR_green | ERROR_blue | ERROR_x | ERROR_y | ERROR_bmem_addr | ERROR_bmem_color; - - - wire ERROR_pc = mismatch(pc, c.pc) ? 1'bx : 1'b0; - wire ERROR_instr = mismatch(instr, c.instr) ? 1'bx : 1'b0; - wire ERROR_mem_addr = mismatch(mem_addr, c.mem_addr) ? 1'bx : 1'b0; - wire ERROR_mem_wr = mismatch(mem_wr, c.mem_wr) ? 1'bx : 1'b0; - wire ERROR_mem_readdata = mismatch(mem_readdata, c.mem_readdata) ? 1'bx : 1'b0; - wire ERROR_mem_writedata = c.mem_wr & (mismatch(mem_writedata, c.mem_writedata) ? 1'bx : 1'b0); - wire ERROR_werf = mismatch(werf, c.werf) ? 1'bx : 1'b0; - wire ERROR_alufn = mismatch(alufn, c.alufn) ? 1'bx : 1'b0; - wire ERROR_Z = mismatch(Z, c.Z) ? 1'bx : 1'b0; - wire ERROR_ReadData1 = mismatch(ReadData1, c.ReadData1) ? 1'bx : 1'b0; - wire ERROR_ReadData2 = mismatch(ReadData2, c.ReadData2) ? 1'bx : 1'b0; - wire ERROR_alu_result = mismatch(alu_result, c.alu_result) ? 1'bx : 1'b0; - wire ERROR_reg_writeaddr = c.werf & (mismatch(reg_writeaddr, c.reg_writeaddr) ? 1'bx : 1'b0); - wire ERROR_reg_writedata = c.werf & (mismatch(reg_writedata, c.reg_writedata) ? 1'bx : 1'b0); - wire ERROR_signImm = mismatch(signImm, c.signImm) ? 1'bx : 1'b0; - wire ERROR_aluA = mismatch(aluA, c.aluA) ? 1'bx : 1'b0; - wire ERROR_aluB = mismatch(aluB, c.aluB) ? 1'bx : 1'b0; - wire ERROR_pcsel = mismatch(pcsel, c.pcsel) ? 1'bx : 1'b0; - wire ERROR_wasel = c.werf & (mismatch(wasel, c.wasel) ? 1'bx : 1'b0); - wire ERROR_sext = mismatch(sext, c.sext) ? 1'bx : 1'b0; - wire ERROR_bsel = mismatch(bsel, c.bsel) ? 1'bx : 1'b0; - wire ERROR_wdsel = mismatch(wdsel, c.wdsel) ? 1'bx : 1'b0; - wire ERROR_wr = mismatch(wr, c.wr) ? 1'bx : 1'b0; - wire ERROR_asel = mismatch(asel, c.asel) ? 1'bx : 1'b0; - wire ERROR_smem_addr = mismatch(smem_addr, c.smem_addr) ? 1'bx : 1'b0; - wire ERROR_charcode = mismatch(charcode, c.charcode) ? 1'bx : 1'b0; - wire ERROR_dmem_wr = mismatch(dmem_wr, c.dmem_wr) ? 1'bx : 1'b0; - wire ERROR_smem_wr = mismatch(smem_wr, c.smem_wr) ? 1'bx : 1'b0; - wire ERROR_hsync = mismatch(hsync, c.hsync) ? 1'bx : 1'b0; - wire ERROR_vsync = mismatch(vsync, c.vsync) ? 1'bx : 1'b0; - wire ERROR_red = mismatch(red, c.red) ? 1'bx : 1'b0; - wire ERROR_green = mismatch(green, c.green) ? 1'bx : 1'b0; - wire ERROR_blue = mismatch(blue, c.blue) ? 1'bx : 1'b0; - wire ERROR_x = mismatch(x, c.x) ? 1'bx : 1'b0; - wire ERROR_y = mismatch(y, c.y) ? 1'bx : 1'b0; - wire ERROR_bmem_addr = mismatch(bmem_addr, c.bmem_addr) ? 1'bx : 1'b0; - wire ERROR_bmem_color = mismatch(bmem_color, c.bmem_color) ? 1'bx : 1'b0; - - //initial begin - // $monitor("#%02d {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h%h, 32'h%h, 32'h%h, 1'b%b, 32'h%h, 32'h%h, 1'b%b, 5'b%b, 1'b%b, 32'h%h, 32'h%h, 32'h%h, 5'h%h, 32'h%h, 32'h%h, 32'h%h, 32'h%h, 2'b%b, 2'b%b, 1'b%b, 1'b%b, 2'b%b, 1'b%b, 2'b%b};", - // $time, pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel); - // $monitor("#%02d {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h%h, 4'h%h, 1'b%b, 1'b%b, 1'b%b, 1'b%b, 4'h%h, 4'h%h, 4'h%h, 10'h%h, 10'h%h, 12'h%h, 12'h%h};", - // $time, smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color); - //end - -endmodule - - - -// CHECKER MODULE -module selfcheck(); - reg [31:0] pc; - reg [31:0] instr; - reg [31:0] mem_addr; - reg mem_wr; - reg [31:0] mem_readdata; - reg [31:0] mem_writedata; - reg werf; - reg [4:0] alufn; - reg Z; - reg [31:0] ReadData1; - reg [31:0] ReadData2; - reg [31:0] alu_result; - reg [4:0] reg_writeaddr; - reg [31:0] reg_writedata; - reg [31:0] signImm; - reg [31:0] aluA; - reg [31:0] aluB; - reg [1:0] pcsel; - reg [1:0] wasel; - reg sext; - reg bsel; - reg [1:0] wdsel; - reg wr; - reg [1:0] asel; - reg [10:0] smem_addr; - reg [3:0] charcode; - reg dmem_wr; - reg smem_wr; - reg hsync; - reg vsync; - reg [3:0] red; - reg [3:0] green; - reg [3:0] blue; - reg [9:0] x; - reg [9:0] y; - reg [11:0] bmem_addr; - reg [11:0] bmem_color; - -initial begin -fork - -#00 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000000, 32'h00000020, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'b0xx01, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'h00, 32'h00000000, 32'h00000020, 32'h00000000, 32'h00000000, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#00 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h000, 10'h000, 12'h000, 12'hf00}; -#01 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000004, 32'h201d203c, 32'h0000203c, 1'b0, 32'hxxxxxxxx, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'hxxxxxxxx, 32'h0000203c, 5'h1d, 32'h0000203c, 32'h0000203c, 32'h00000000, 32'h0000203c, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#02 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000008, 32'h20040000, 32'h00000000, 1'b0, 32'h00000000, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b1, 32'h00000000, 32'hxxxxxxxx, 32'h00000000, 5'h04, 32'h00000000, 32'h00000000, 32'h00000000, 32'h00000000, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#03 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000000c, 32'h0c000009, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b1, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'h1f, 32'h00000010, 32'h00000009, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'b10, 1'bx, 1'bx, 2'b00, 1'b0, 2'bxx}; -#04 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000024, 32'h23bdfff8, 32'h00002034, 1'b0, 32'hxxxxxxxx, 32'h0000203c, 1'b1, 5'b0xx01, 1'b0, 32'h0000203c, 32'h0000203c, 32'h00002034, 5'h1d, 32'h00002034, 32'hfffffff8, 32'h0000203c, 32'hfffffff8, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#04 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h001, 10'h000, 12'h001, 12'hf00}; -#05 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000028, 32'hafbf0004, 32'h00002038, 1'b1, 32'hxxxxxxxx, 32'h00000010, 1'b0, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000010, 32'h00002038, 5'hxx, 32'hxxxxxxxx, 32'h00000004, 32'h00002034, 32'h00000004, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#05 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b1, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h001, 10'h000, 12'h001, 12'hf00}; -#06 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000002c, 32'hafa40000, 32'h00002034, 1'b1, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000000, 32'h00002034, 5'hxx, 32'hxxxxxxxx, 32'h00000000, 32'h00002034, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#07 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000030, 32'h00042400, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'bx0010, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'h04, 32'h00000000, 32'h00002400, 32'h00000010, 32'h00000000, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b01}; -#07 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h001, 10'h000, 12'h001, 12'hf00}; -#08 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h002, 10'h000, 12'h002, 12'hf00}; -#08 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000034, 32'h10800002, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b0, 5'b1xx01, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'hxx, 32'hxxxxxxxx, 32'h00000002, 32'h00000000, 32'h00000000, 2'b01, 2'bxx, 1'b1, 1'b0, 2'bxx, 1'b0, 2'b00}; -#09 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000040, 32'h8fa40000, 32'h00002034, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000000, 32'h00002034, 5'h04, 32'h00000000, 32'h00000000, 32'h00002034, 32'h00000000, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#10 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000044, 32'h8fbf0004, 32'h00002038, 1'b0, 32'h00000010, 32'h00000010, 1'b1, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000010, 32'h00002038, 5'h1f, 32'h00000010, 32'h00000004, 32'h00002034, 32'h00000004, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#11 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000048, 32'h23bd0008, 32'h0000203c, 1'b0, 32'hxxxxxxxx, 32'h00002034, 1'b1, 5'b0xx01, 1'b0, 32'h00002034, 32'h00002034, 32'h0000203c, 5'h1d, 32'h0000203c, 32'h00000008, 32'h00002034, 32'h00000008, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#12 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000004c, 32'h03e00008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000010, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b11, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#12 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h003, 10'h000, 12'h003, 12'hf00}; -#13 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000010, 32'h20080002, 32'h00000002, 1'b0, 32'h00000000, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'hxxxxxxxx, 32'h00000002, 5'h08, 32'h00000002, 32'h00000002, 32'h00000000, 32'h00000002, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#14 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000014, 32'hac084000, 32'h00004000, 1'b1, 32'h00000000, 32'h00000002, 1'b0, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000002, 32'h00004000, 5'hxx, 32'hxxxxxxxx, 32'h00004000, 32'h00000000, 32'h00004000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#14 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b1, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h003, 10'h000, 12'h003, 12'hf00}; -#15 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000018, 32'h20080003, 32'h00000003, 1'b0, 32'h00000000, 32'h00000002, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000002, 32'h00000003, 5'h08, 32'h00000003, 32'h00000003, 32'h00000000, 32'h00000003, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#15 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h003, 10'h000, 12'h203, 12'h00f}; -#16 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000001c, 32'hac084001, 32'h00004001, 1'b1, 32'h00000001, 32'h00000003, 1'b0, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000003, 32'h00004001, 5'hxx, 32'hxxxxxxxx, 32'h00004001, 32'h00000000, 32'h00004001, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#16 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b1, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h004, 10'h000, 12'h204, 12'h00f}; -#17 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000020, 32'h08000008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#17 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h004, 10'h000, 12'h204, 12'h00f}; -#20 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h005, 10'h000, 12'h205, 12'h00f}; -#24 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h006, 10'h000, 12'h206, 12'h00f}; -#28 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h007, 10'h000, 12'h207, 12'h00f}; -#32 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h008, 10'h000, 12'h208, 12'h00f}; -#36 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h009, 10'h000, 12'h209, 12'h00f}; -#40 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h00a, 10'h000, 12'h20a, 12'h00f}; -#44 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h00b, 10'h000, 12'h20b, 12'h00f}; -#48 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h00c, 10'h000, 12'h20c, 12'h00f}; - -join -end - -endmodule \ No newline at end of file diff --git a/Project.sim/sim_1/behav/bmem_init.txt b/Project.sim/sim_1/behav/bmem_init.txt deleted file mode 100644 index 4981092..0000000 --- a/Project.sim/sim_1/behav/bmem_init.txt +++ /dev/null @@ -1,1024 +0,0 @@ -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff \ No newline at end of file diff --git a/Project.sim/sim_1/behav/compile.bat b/Project.sim/sim_1/behav/compile.bat deleted file mode 100644 index f662848..0000000 --- a/Project.sim/sim_1/behav/compile.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off -set xv_path=C:\\Xilinx\\Vivado\\2014.4\\bin -echo "xvlog -m64 -prj project_screentest_vlog.prj" -call %xv_path%/xvlog -m64 -prj project_screentest_vlog.prj -log compile.log -if "%errorlevel%"=="1" goto END -if "%errorlevel%"=="0" goto SUCCESS -:END -exit 1 -:SUCCESS -exit 0 diff --git a/Project.sim/sim_1/behav/compile.log b/Project.sim/sim_1/behav/compile.log deleted file mode 100644 index 9c5301a..0000000 --- a/Project.sim/sim_1/behav/compile.log +++ /dev/null @@ -1,63 +0,0 @@ -Determining compilation order of HDL files. -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module fulladder -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module adder -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module comparator -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module addsub -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module logical -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module shifter -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module ALU -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module signExtension -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module xycounter -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module register_file -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module vgatimer -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module smem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module controller -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module bitmapmem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module dmem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module datapath -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/clockdiv.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module clockdivider_Nexys4 -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module debouncer -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module vgadisplaydriver -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module imem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module memIO -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module mips -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module top -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/imports/src/Lab10_test_sqr.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module mips_test_sqr -INFO: [VRFC 10-311] analyzing module selfcheck -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module project_screentest -WARNING: [VRFC 10-756] identifier ERROR_pc is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:167] -WARNING: [VRFC 10-756] identifier ERROR_mem_writedata is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:168] -WARNING: [VRFC 10-756] identifier ERROR_ReadData1 is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:169] -WARNING: [VRFC 10-756] identifier ERROR_reg_writedata is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:170] -WARNING: [VRFC 10-756] identifier ERROR_pcsel is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:171] -WARNING: [VRFC 10-756] identifier ERROR_smem_addr is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:172] -WARNING: [VRFC 10-756] identifier ERROR_red is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:173] -INFO: [VRFC 10-311] analyzing module selfcheck -WARNING: [VRFC 10-1195] overwriting previous definition of module selfcheck [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:226] -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_1/behav/glbl.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module glbl diff --git a/Project.sim/sim_1/behav/dmem_init.txt b/Project.sim/sim_1/behav/dmem_init.txt deleted file mode 100644 index 72cf8de..0000000 --- a/Project.sim/sim_1/behav/dmem_init.txt +++ /dev/null @@ -1 +0,0 @@ -0 // data memory not used in this program \ No newline at end of file diff --git a/Project.sim/sim_1/behav/elaborate.bat b/Project.sim/sim_1/behav/elaborate.bat deleted file mode 100644 index b8b0057..0000000 --- a/Project.sim/sim_1/behav/elaborate.bat +++ /dev/null @@ -1,9 +0,0 @@ -@echo off -set xv_path=C:\\Xilinx\\Vivado\\2014.4\\bin -call %xv_path%/xelab -wto 5f5d7ddd32cb4c0cb289603e426c9fed -m64 --debug typical --relax -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot project_screentest_behav xil_defaultlib.project_screentest xil_defaultlib.glbl -log elaborate.log -if "%errorlevel%"=="0" goto SUCCESS -if "%errorlevel%"=="1" goto END -:END -exit 1 -:SUCCESS -exit 0 diff --git a/Project.sim/sim_1/behav/elaborate.log b/Project.sim/sim_1/behav/elaborate.log deleted file mode 100644 index 75f296e..0000000 --- a/Project.sim/sim_1/behav/elaborate.log +++ /dev/null @@ -1,12 +0,0 @@ -Vivado Simulator 2014.4 -Copyright 1986-1999, 2001-2014 Xilinx, Inc. All Rights Reserved. -Running: C:/Xilinx/Vivado/2014.4/bin/unwrapped/win64.o/xelab.exe -wto 5f5d7ddd32cb4c0cb289603e426c9fed --debug typical --relax -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot project_screentest_behav xil_defaultlib.project_screentest xil_defaultlib.glbl -log elaborate.log -Multi-threading is on. Using 2 slave threads. -Starting static elaboration -WARNING: [VRFC 10-1459] too many parameters for module instance uut [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:90] -ERROR: [VRFC 10-93] smem_addr is not declared under prefix uut [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:72] -ERROR: [VRFC 10-93] dmem_wr is not declared under prefix memIO [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:74] -ERROR: [VRFC 10-93] smem_wr is not declared under prefix memIO [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_1/new/Project_screentest_nopause.sv:75] -WARNING: [VRFC 10-278] actual bit length 32 differs from formal bit length 5 for port WriteAddr [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:88] -WARNING: [VRFC 10-278] actual bit length 1 differs from formal bit length 8 for port charCode [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v:89] -ERROR: [XSIM 43-3322] Static elaboration of top level Verilog design unit(s) in library work failed. diff --git a/Project.sim/sim_1/behav/glbl.v b/Project.sim/sim_1/behav/glbl.v deleted file mode 100644 index 2edbf14..0000000 --- a/Project.sim/sim_1/behav/glbl.v +++ /dev/null @@ -1,71 +0,0 @@ -// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (weak1, weak0) GSR = GSR_int; - assign (weak1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - -endmodule -`endif diff --git a/Project.sim/sim_1/behav/imem_init.txt b/Project.sim/sim_1/behav/imem_init.txt deleted file mode 100644 index 9ffd400..0000000 --- a/Project.sim/sim_1/behav/imem_init.txt +++ /dev/null @@ -1,7 +0,0 @@ -00000020 -201d203c -20080002 -ac084000 -20080003 -ac084001 -08000006 \ No newline at end of file diff --git a/Project.sim/sim_1/behav/mips_test_sqr_behav.wdb b/Project.sim/sim_1/behav/mips_test_sqr_behav.wdb deleted file mode 100644 index bb2e29f813baeda8664cecc9b0a9ad9b87e12c2d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 61483 zcmeI534B%6wf_$yiW9^+D(Gbt9DqzD5M@T@K?XsPCLswV7?PL-5-g)5PJjc741!ez zN3eAWqKH}xR*@=odVpZ50!0OsDk_!t`<=5^+;^LE&ZYnO`hV}^{&Z#Uv)A{mz1P~~ zy@_;qenEa=MPyj#E4oLzbso~WOXtDeBW)6#;a>gV{P7bCvdW4|97&xdU-?F>p<2hh z@+j|VTWv4Te&5Ug-JfmnqDqhrHg`8<@Me!Xb-R~u`n#9^yFc&#f9(Ii+wTAM_XfV^ zdAJ(`m%?IQ@44H?wMg z&%H3ABSWqn*gbMtufCY*nCXu52qlO+S42@HFBVL*jtA@76}nM1_i5fgeBOjdsYZ;G+Pu_X>(9 zE>GAk;0McyhMW3JevRZ0NG@&<8ZxAp)OZ(T=ON_N9@0Bu!* zSsrnmJjASSh2s=I;y52Z_j75n7ToKOb8v$vYu|R9nHv$jcRkqwJ2yf8h)%SGtU%dL zy^nL8Np&1&U|mmh7Bb3X0%C}qT6mY@Mapr|MK?AYJAIZ{+!4}p0~Bb3i6+jL{8Uy* zIu`kPBBvuygwS?8#BCNiy6H}t&QjMMIqgQ|8JU~d7bd>f2g1q38KQPjbo;N&<#>ks zPJ4(SGYq<8AUy(@M$plZ5dlnN=osel0ZbF<=SNJ!-D`3eGJdd*zH^Ug5WsYT zJ_2bOz;LcMg0u}_I9`n*y#g4H7uV`l0Zd!yxmJq;7|vy`)$73MK8d2kd42_ib@ZKU z^=5-XIB`Te&Xo`{ogwE#<{J#{a1Q?lLZ&N(=h$L{;T}PMiy>tCL%8Ob8w~fgj*uA; zGQ%N^<2r-EW7WP&hHH>({-Y4)A~1VG81CQy3Sqc+)JMaNlO~-%JOjA47}IkC7|wV0 z`(iMRr6#q*bAs!JaZdxIcLLhK3^EIH420{Caqn(0+>d%g7C^{wO>pnH91P>lL_1eO z)GazK^p>?KG1Uy+8)64g`RuR*8xmF=(z_Sg8|^YX5yUBhHQXvf9L+h zHE_DYU`jgUAn&stk_hPpX=*TdtQre4oS$5)sR0b_81`S2&Usz??)(m5uEvW!AoBwl&eNWdCjuDGuU?QfV7NY*P;?3)A3=D38V2F~ z*k~}^54neZ3L%pT;r#g2VCc&=kX;Zmw8{DLg~8ylYP`sB?r?q_3}6^%?wiMBgU~+X zG0F~o8USe!z|g0GkX8WV=F9;`{%#i^)h2H}2P2OGK{ z(*pWYkhlPb=Nr%HCIJlh@C-;>FkDBPv_Gez;v7gOq)Pz9eJl&oKY(ch{b+JvxaTo( zeN{ldhnxXv1j&XJ8Vnw*<~$jmgE^3E1DFQT=R)oXV0c!Jfh-ANnnKU{@rMA0y5pr(; z!!=kAc@zxiwI+=Z$DC`2Yv5Thi~$qlF%5DK`g|gC_J{F$*aR)FbZ zF@r4TX)rx3X1K-t0Zdn!FKFhv$K(_&r&Q)V%RH=m^Z*&Z!t?O z=1nj&E#?u6*#PDai+RFgHiEg!VxG2`cfj0dG0$1dCNK*vX0^q<2j)SGS!*#LfO*7X zUbmQ!z&vg-f3}#-VEDa}IafDY%vLbZTFhT9W*e9nEaoGN`2@_%7W1*eKwY(GvOnBc zr$hDyFpZ#}0XYhXtL|fXjIz@h&(}dt3t*Z+e?6o*818wRbYHE93ijs)NLm0Bf&NAa z?Mz3m$hza~=!b>q8-?f_hv=Jx=$nSfqX+7g|CPaO<`$zpb0eZ%b`@g>59v#*){pUX3%*cBh`X;Ey$9uPZ z&H4LreV=jXd2*JK^LOK_=h*P4I}5I#cnEX8%cSw;{0=&ws1MrT zsSny8sSny8sSny8+0Tv;_8HmF`cM7UA^MaMy}5tBV#JT%{WHcV82JZ=p7A{%!u6;5 zZbQ$p<-62tPCuA5{#-Xf`#<$T@uR*Mgf@}=EI!l+%}?t4$a~1DegEqz2;*KJ&Nw%{!-7o7IU`EL>&|N#q%Jn<1=$6Q{OT~AGAK$ra8Y? z8U3UGyyKA3+-v=(_8AxReOu4C1nC(UGyeAbj7yl_wBHmPHf`Xwsyi?H&pU8+@ugou z@ufa!{!rf-qHWs!r{3HjY(4uG)IRkQh}u8M!+?pKK2j|&tIFlkSt9!77KiJ*oAkYX zTEW8_N$cg&{a%ChOQd3@#WkT4N%?^|-NNJhgjIXR)p<9RB?YlX4GxkM4b)#g(G3w78|hacwa1cj!!+ zlB>PPylVH};}+$GILVcJTsW?Crj?>!YjJOSYIh%EF_Rk?QnBP}Z;w~)u2&Z0#BjXi z%AFtu&M&4)(XY3-IN`XCnew>ckt!rtdu^n^^~@B{iI3Dma^(g}f$N%Sz34x*xMJaW zM`Wsyj!u_c?ah$_?+Hv1>1YedmE-TXnRs3^trz`YEbboRPWLdUSRAU5T)S9ta^C0Bb3 zg}X@YNxv$s{w)&jVztK)Ur3pftGx$=YXu$sb^mx6S>3^W@V?JyzF4@{D3e<*`jr;9 zM7TD>4U}_wxa8XIgTf^Ur+?T{cM>X)l>41;RaqyD_BpGtG$PX zONNg24vOCWqdYt-w^XNZ zgu4Vf+Dn^`A4r|1yIEEIo)qpEO&Hk9cPXY zmoHo=;fBk>lqtF1&mNU#It%Asiczk6rdOfdW5RV2&OT?9({rY)aP~Q)oSrk?gtN~X z<@B8CE}VVND5vMlWy0C#jBL|9*n5j1raIKi)5xw12nZ`hym?Lb$7io7c=MERkI8trV_6xK7QzLQlz+ds?{h!tE0M zK8yRkaD~FnkqJCca<#|*{)VZ@%YL@rT1vgn=VE!b&l%-(UR@)cJ+GA0c~v5uJ+GA0 zc~vT$J+GA0c~vHyy^kxW`}hRm>~lf6elnjYD#wcqQnBR9{i&W;U+!g2Tt}}EFS&B- zgsTv)QuOOBZoP1mgv;yX6)GfGd%J|YR=D`iUZI8L%GIs!)&Eww^`ifa#p(A6lZ7kp z;uR_+S9>kR-W1{DyLyF)O z^LK{#=zY0~a@|H=g>tzvzSjw7-~W`;```7#+4n!?^!|5)aQ6LAIlcegD4c!&Q%>)H zHwkCo|CH1F-_63=^Ikcf_cN92?iJ!CSMK#Rul^R{Dn-B6;x-C*t8kf@d4)X5)!s+K z-6mY!9$q0%a^*f1?snl;ioVj~_6m20aP~RK&y&1b>Nz+I<<}wAaj351W(#NU_q4~P z`~4i0U3)U0^xRTT&#gO!v(F>t^gOytIQ#riPS20Kg|p8O<@EfxM>zYuR8G&!xx%IK zqJ`8+a^;?tbKzd-Tz{>5gmSvy-zS`X9x12i(LCYo^GG>8kM0+4yYy?9)xQ^{fAfX2 z?_vDDfJx7-1>WP&?qSO5J#3+HGe>xZIg%^)M`?GFaQ3`XPUqhP%31S8Ih`+yg|p|2 zaynm@2xre1<#fJ0D4acCl+*e0JK^m4qMXi`hlI25P0H!L>0#mQd7zxmgQddR^FTSB z2agD6uS?~0T`m*OzHca}_l@Pk+4l|Q^uF<^aQ1yeIlXT@CS00as5(imT(@IA;Bn!$ zi+-QQ@%OS!Pk5O#M-ILvlB>OZ;hq$(lWd>^C0Fhi;hqw1pKi1ldKJn&D%=X;mWY0( z#l0ciO5p}xaMeqKjUZ_*(pE!g9%#`&zg^2-mZfR~Rn2 za{O;{>F>v$7p`uCSBRHf zxeBpYDO{!K*IV2?;Z_NkCmUmhKc_mbK>&nxKn5y?`o`|cV%^Zc;yoyzIG^JU@e`-^gVe_1P>eeYCG@13v2$h|6@ zeeYCzdhdKqxdtd8>Gw#=>F0$%LPvk?`>t|&-z7u&%T@S+)MkvAE7!fg_xvYh4dLw170T)7ia%?+olrok=;UTq|K^2$ zPI(j6uD{~vU&cmruZ!bv31{E$J9>E4{eFWy+xK?m^xpoqaQ3}jIlZ@U6wbbPDyR3( zzi7MNy@KAKmDBt4JKC;rdVf|<@6YcFSDfb+DkN8KpWJsh2{*i_SICrHxvzx#t8nfg z1)^NHmsg?O*TTIgoP8hfB=ve9e;>~rH~W6CoZjz05H4>V3P^eK!MT(Bgzp2}d4&&= z(Vjh@dkd%g#z(^0_Xy?m9`Rqo+2@gRdLC^S&OVQn)AMMHaQ6PJobJzCg|qi(<#d1k zSUCIKQclmUZQ4KU+)^&SE&!zM5XP^~Nc>bO!g+7+_sZ#h|ATPx`GoOzM3O6aK;m==I@((+`t=s~qj3KcuIK1lDE*YwUR~_> z?Bb828tB8d5bm&W_WHd>>t!A3AGF!)S23pdpoIPKZ)A@3Y_U{re5XF)!cT_#E{#fB!?2v#-rrh6TUey)Oj$euJ z8o%SfRkxoiH(bW!c;VtPj!Y5BmD?)w{siIHihjMteJtFG!rA+Q+M6QWNy05pN5M$S zWg{+}fAvId&#O{-NB!Wxb47pcxG1M_i4(4PhG(Eca^U81kd8(Yw(=&v#&kyDF{5VrN*&lS@ zRqiG6m;Vm5yT4rOb$q?#%Dpc0`7GgPc0|EQ$}N?+oGog5d?(2}I=<%!muamd<#Zi2 z5YFB=l+%5qq1v;~H|0i&zvl{PkDGEjZs!SSkB4$P9ueW}@l{U8w~^YD_(mjGu8YLE zv2bfYHG+(ya*ZXQ33a@ww+AIgRKL5^`&dwzb*0Mif>=7(}&ekiBy`uU+; zm>=XAXSL_&$8}&D#NdZ=VSXs5?fUtlT$mr^7-zNT=g0M6&WXVf<-+_>PTTeKL%A?N z$T7}p&(Dt=z?>a}AIgRKp`5ns=ZA7(evo6F)t;XpH-b4U20xSw^Fujp*Ut~-!u%k| zIIBHBKW+jOAA=vth54bJw(IAIa$$auW1Q8VpC31aIWq=7lne7iIc?X^59PxAAjdeX zJwHEYf;l4wKa>meLpg2N&kyCo{2<3Tt35wIZUJ+841OpV=7)0HuAd*uh512_aaMbN ze%uP?v>5zQF3b<*v|T?xlne8N9OJC^{QS5L%&9T>pQ1jImTJ-`S~#$41ZM?cHdPl%n#+XT|YmR3-f~<o*?Ch512_aaMbNe%uY_gc$r#F3b<*v|T?xlne8N9OJC^{QS5F%<(b!pQ1jImTJ-`T4OB%uzA;pKaPUXD0nOY`CsCCz;5dD>QxC>FHT}`IFK2k}2D@X*Q_PC#`y`d;G zk!uZ!K$PS8rCbKeOyuZ$1fm?zYvsnF%tS5$V*0`RiEmmkfzOl;eF{Io`jS$fZCc5al@Ml;io$L@o_NMmc_-Qcl+wxpo%E z&yUJIj4~6s_LB3N$sD&wy(*VWN141PlY2@kslNnb_Ad_4+U_$3cPYw#_MSI5&RNsm z$#_O|z8Y8#f;JTp9wZpb)_Y{b>`xeSfthYJt0m{8g2&p>mG}J5iflGO9 zaenyuvDx4_Km53D2FLl~$L%mU&JRCsm%(v7ZH{Y%Yiqy3ahz<9Yn|K=2FG~WoW|uC zQE&_xFF%fd@4-awbci3Pe_ufE42U_td{5iu@6nmaoe42HzNg$p26qFaoZu}lFxMl&|QwGO#P#$R}>fB#raOVeb8x5{S0QZT(@x1hFcb~ykcV6OE*Wbei zcTs@7dK?HOW*0;J+HGiXJXig=1cT$b>c@3AIG(G1+?58$bJdR<4~{zGJijASW*yfb zbM71E2FLR|0^vK<$4gF56W4*?e!zFQu9?C(J!i;q{qtQ-dJeK5uS#VYr{@egdw=0R z!g|`%bEZ0u`-pOS&Q!;7A5l*4oqP`3H)gPLq%e;AJ`*|aFA)e|WqjBc>p32Jf3A+> zJzlvcC^J>ZvA@c3ZZcKJu^-CuY+Vdv6K!llCF@w``i(%8({qA4O}zIomS&%I-(Vf%!9 zL|J30<3Wz)2t>IHP}aEUen8Iep2+uf9g*XBL?CL9=a{yu>yjMDBLY#5=a_O$P-Y@$ z&#Q}3r~T8+=*qb;@9N;t+@KYvum@w}rwat}b5s^i#q<+{3*$8iquU2T`=opQYl z&hK2{yAQ|6>E|u>FUa0|>yc+A96yUf_3c zC-?*Wemk96$dn zH`3smqP`YHlkQh+H#if-bZ z3OX0`93;nm$h60`Lwk(Dix4Jq9OLTZ!nnT*VG85cdzi~{9dZBHaqEaO+vT&~|7g$V zI-yRvcTr}lj_Zti<@EkSj_cAKk1hsxFJC|+$NMAC9qnINl&R)Cm}hW2OH8ht!Ob@~ z?%O=O)LwUkTWWCJw@rJO8Jzw*;p`vph1HD*`}d;Q<9COgSKKeP-5w}Y&39imIL<4R z>uGSh-_u?TNOkS9PR}iJJeS#~wo4mqj?a1?k>j~+a=r1aoSq-#c-~gmF5lJjgB;IW zKTgj}ay)O%cKhI2+wF=n6FH6>V@j5G`$Cxb{ES=jIF6fNyLukc9?x4pPR}E9Ja5hZ z^~1CF?~g1Xk>fdF&X@jFAhFMyxV|}0t815aT!&2Ls{8!{nw&RA-Ox zat~!9*Afzeu%7%C5ZdE2=Oz<5uFD8SxnU?P*92uIa$J`Yh;qYG*8Xi^0g0Twj`;bD zYQ}1l!Eqf$AZl*}%F5k@G865wuMvoHBT-gvvtf_x$mFgvxUB))D1+M`!2QPHb{JeD z>dkqOVQ`-XaG3_TJAlhFIPP6cj7t(E0@1jPMww>V7tS4~>bPvwE62Vvk>manfvCM4 zl$D!>GE;S2F6x!L+oh`K#u(fXNBM`Nh zk1}P$qY^!J+6Tt~+vkUz52H>G=`H{fiDEk>kDO1c>&p z0A;G##<8-IljDAGa^vx=+{p&V{odpX4elI+<9^S0Yr91T*UaF!-qaD1<|!9^_Y z8iUjCOXx>a$n<7j-)W-+!o+dYbQ%hA5WhGx7EI($hWK%8kBQtVkO)NmEkjx3r~4#1 zz7v5cHvwhknxM=?juL?=HxXrWd{%$SaK7+BR$V!tkxYO2Sun`o7u`3!&(ePWvfWo> zz8E;?fn+Q#JF^oc}0$6 zO}!>vZ{+mJ%`*VvBx&)ui3xJc%~n0W3<81U-N!HML70X$CvilHV-e2#Z*2c zvE4kecO1$=Knmju4SV#1ZD{*xm5X^iyTs*bzS;O;frJrnijI?}rXW1!~(?QzT^5RJ=BlsUHK^jsjvJ8cA_+$|_8 zr{@B>dXNZ2xm!_I?tbYX=M(1w>ow^-W!*x9<9Wonp!RMrJ5gqw>Bq+b++7Cuj{xp&gF6_&-D7aI z**Fs8(iGws=i_4J>c`0G?-$rE*KY)(ahZ!U`$1E>Pm&2*N2g1>VZd6KhhjRUy*4NliD_bPk+&PScD zOI^R!aSIHtrNo6?P+SrX&R)L@#UB0A`9ga^>sRMX7^m|kjB97~kLx!A(Ks(cS=W)y zE84Ti;{nuhTxhDh*sG7S9p}ZU(>UwrT5|UOx5VIj8ul1Jwxh}1@B78b4K+B%IRa6C zA4Hk`7qpJ9lyM_x`@0- z@ufXGE{~#4-V5jrL;MH^Mj_ z-!QJw=pXluMi8B+PqJSSA{uAfv*Y{}>g+i4?iV!wG|uFL;-_&Y7qo9^oXG{nuT1`;D*PeDJe#r1eMIu3vxr>T7B}`t_6FgP4i)ldNAo*NmCS^RDj4%W+oq3i)I3 z>(`I>+dtj^pt5S0l>Zh4@$uuWaJv8P2=~+@ zt^ZHOxu)9X_a^d9h1t0!PEM|t zI4Pa{A_wYT1Du@v(&B=wNjW9?6G2TJn-yJQ&OZ!SVszuIiEf)U(P3gul6sk#lo4$q zF*PGG+38$Ro;9g75y5e?o$R8*vXY{L0(QFC$;m3qD$Xj)b4uw>4u3@T3Gcu_R2AG$ zwB`$flxWQtER)3O`NHu?jGiy4tco53uGpk#CsNUgr06)Lq7zApP8kNljjC4|&F+uR zFB_j#Y&(*KIhPzgo46K|qkZGdOtu^$WjTVGnVcq3ZJU&lD8+;}sTt8tV2RkFYMX&b zm5gypa>wSEmgSaYjL9#^b-4d@uPDp?nwZm<&*ZA?oJM%{u^rkeoz$wq-&(d7%kfYt_9QS95f6mJ&X`b~Q8vEV8Q8aPM&AKl z`}9ElfF4~kdi3qm*Xi1|Z=V5P@v^RiyGXH{=Dj=>jArB)meH`A)396UJ*0Dwj3J79 zPhIELR-A!U}&t8|A&qD`x>pUdtg`xe2 zbdNGRxO?9O!y+CNqaTx^ACry8p@X{*qI0GJtuw7?ooPzz#NMC*T{DLD>NcdOGo-4N z&G~`pmz|eYl3kR8BQcx9*qIX$lQW@B8)lfV_=z)>Rf#U#l`xO{lB{x6=VldR+rqZ( z^mnf_m}}nW1kJrE%})+L&0qI}MAh8(E^FT0m-0_KcU5GU;X3D;cg^wMg#M$-RB{CtL#|wH+>m&7Cg$hl7CHF1GX}R% zz1fvwE6*Cwg*>oeLTR$o+vz>T=`zF_RO$>Wa|Y!&opD7fb-KAf`xg{tV@YP^(2s8*nKPdES+ogakhkZ!XPcDebdx8Za8jh%{;u(^?$0aGcGhTI&dT$1U@$K?e{5cv zGgzCIw}upTDJm%}Dl04M;`Hk86y%}s_ztP-gMO$Uo_3{&zbaoaTN*_{%tJfdy#?o#R27U zky`lUGRi2C=aEy+z#n{2CWyR&oD#t=JSY=I{(_v+0>AX5Oc&XVoRWw?vY^Zq`4~B+ z6Mo@JnJ@BBIqR>3@OM|YL1^zz2;6e`H~!2QK+tXHcM$6Nx4C?; z5u`Ds355M>25Amy3Aq4rA*2%gkv)bk_pL$6hf|nlt4H>6Cjfy*Ft^^;n+-paBMg((;+h;*F$cA z+z7cD!m&w*Xly#7Z1&@FJezSEisvzqv5-7SK4csu9-{s=L^%TCII?^mgk#5ea!&G@ zIvry^M|I8>yC*>kB;OT|d1$wme$9W4z^@UoBe2k3FgEb(^Z#fB?BVFR=YO>M zUmN>10{^Qbu-aZQ|Eqfcf3LIlaD4yy|2-dn_2}0K)KmmMt|>#m+NqHU*!vg1dt<7o z^~F8u{a@>JTKC1LcDUD>ar9A5Z`jv<;PI_zB)*w>)dn6pFjW2b~|>Lygl}-^Xt#LzVoyWrH>pwdVSIl6F=Ow?d#_Tr1w~S!p%E396j~y zA+7d1hrii*OXq1Hj5ucDj|+BRbL(+w-!15JIPJTNAKTxy`mKuJy#LxqscU|SFaBoK zM`_P4T$q_Ke872!&zf6n*?`fTN4bLsjz|)fs%{)5ohyS{&^pnq5mp3?e z;okeUHD9>*`A6oxH0py3OLiT%Z0FFAon<@Qzx2xP-P4vOZvXrF9X{`~@qtZu|G1)j zLY)q8+`Q@Q=GSjO(BR$k7e4UXRYlV`ow~UA+Ha=px_|T8dmFg#Pr>_R*EFx!?vkR# z1EytU9MkUe%`28o+xW(+f8LVzeS;q}ia)NszyGbZ``6nurFYk3aylK^|9;Hfe&(&O z&v+SQGxyT|wG-lQTy#UnTdwQbbNUU_FRfdrS&yE*di8qaC&bzy0ODAK$(>dvNbNwvJ!4cys$@qi+90{Nrs$KX!8Dy8$Qc`{1Z)S8m){ zlsdQL4V~(Z-d6dKm(Sg>XG?>PU%z(Lw2qH{cljfKkKD9r($u`GrYu=pYew6LT32qL z{Z(?>zy7-9aH9_EJFna}etLtW|MiD4m))F_)c%S4+nxFTTML_9J?8D(Qc4g1(CeFq z9mZ_myrWI4^ZRd2`z&Go-hXYZzy0&njm>JmJ@vi=d&hl$&&D;$i>I_4o6+x)&o}PL zSlIfz;&0CRAoIkuDHp6ecIv5re`s6IBQG3S(f&aF!!Iu1)~!doDfcd$-=o8n3zj`q z@{dB@3*u|`~J`G44c*A&==qDU31Ui^7p>!-eJPr!Nb~3_~ga=cdh$F;xP-$ zGcR8F!u*Y^oQ=+hXsD-*0)S-^vF2)@=Oibzc|m`*H2=#ospQ z-|^cA@2uVb@UT}()~?F*{BKX3oteM;GSuk-tfw27c85*Ep6oX@0yQ&cJe};GOZ@YTKH4WD7U(vUDPM7sJ%z5Wsre1ye^m%i3-{yB`b!mQT;)oF= z+UFzfe)!WKc)Wk$>JM+cZPe$lzTRr$C!^0?U5p>CKHvON(gRBm)!tjQ=<9adc1}9* zQpKn#cQ>8(-n2IsFB|jartHTey^deD@yevd&nz8Q`1Z@`9aj7}`S2H;+9#wRKCi=+ zsn_1ouVd;vo>8YRBp`R_{o^w{pkG`&R8( zaNp`3=L~yc$DS)z?YR1i)jN`|-m?GGJzMrS+p~55>*s9U-+jTB{dE>>-9LEbmi>1x z{qo@cC%-)SPGb3?eQnDRWv)tJGi`PHn&)3_xb3Ca8ZLgaY~Ax;KytS1@3(r({(lbr z^5B1M{qo>rK&<9U9)aQE;TLz$TAWw9ZuZuab+eyYz2oM> z)jN)Dzh(cF@-6#UJ(0d<&r|7Z9@^A!+qD}TZrk$dtfCk9&RVf&;<^tmDPA}BTDV_- z>;7i#$|vt{Qa<_e73piXKb=1HAGf4W{p$YosfSjjPd#vB`qYC<)2Hs6o4)4ov*~NT zeKLK`4~x<}{P1zZZQs1oaNAe!G~9OJjfNZ3q1}ICBEJP((H8aV~BfE>u1%Z0=Ld1rj*`J(_FCR38!eA0 zQooF~9p-ED;8f=qMowprc9UVh-A$6@J`FpaZo6_#zmcg_<$d}{ai2a+Q+igNwe$=u zm^lc4H}odrFCKa0tWZj->B+jzD2lcd_|YRjc&8`9GEA_nIan4IjHL<+wJhtyp#<7qI8A$#o)`!rqL7bc-r?hLnDjdl#9^N&6A-lB7BDWR)LrC~vlQ0` zJ(RTln3ggftw2rXxUv*VViW9W4raB?7&m&ow!jMmGDjy?vE0tIqq)&9fV+|920%Hm zZZw7&n_u(p@1DDUVw#RIy;M(WRiZY`fnTk5AzpSjj*|`6Gva@N+>mWIGr$BwPNk(< z`Sgjc7RWT4vN_P@{)%*+{&q9oBDL_QSV=G5#QKEXJ$KBw%CB|INxn%~YIRBHC=Rzg zuhQ)eH!gmykz=Pd&6v8NIWsH+2gcHgHZ;?sNP$X)z7+>dz12KwbmLxf{CbJq!QRM; zd-UZVxc)u14B~$Tl}oPtk5K8oDk?vFgnD4rwg3dAWHwyYDA)hOO4>YcgXw`lL>c~_Q diff --git a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/.xsim_webtallk.info b/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/.xsim_webtallk.info deleted file mode 100644 index 40e8441..0000000 --- a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/.xsim_webtallk.info +++ /dev/null @@ -1,5 +0,0 @@ -0 -1429162775 -2 -0 -4b51deaa-697c-410c-b49d-3967bd814bfe diff --git a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.html b/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.html deleted file mode 100644 index 2b9068d..0000000 --- a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.html +++ /dev/null @@ -1,54 +0,0 @@ -Device Usage Statistics Report -

XSIM Usage Report


- - - - - - - - - - - - - - - - - -
software_version_and_target_device
date_generatedThu Apr 16 01:39:35 2015product_versionXSIM v2014.4 (64-bit)
build_version1071353os_platformWIN64
registration_id210990371_0_0_454tool_flowxsim
betaFALSEroute_designFALSE
target_familynot_applicabletarget_devicenot_applicable
target_packagenot_applicabletarget_speednot_applicable
random_idcbe99d29-70fc-410f-b2e1-f5330b3232ceproject_id4b51deaa-697c-410c-b49d-3967bd814bfe
project_iteration1

- - - - - - - - -
user_environment
os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
cpu_nameIntel(R) Core(TM) i5-3320M CPU @ 2.60GHzcpu_speed2594 MHz
total_processors1system_ram3.000 GB

- - -
vivado_usage

- - - - -
xsim
- - - - -
command_line_options
command=xsimrunall=false
-
- - - - - - - -
usage
trace_waveform=trueruntime=50 nsiteration=0simulation_time=0.14_sec
simulation_memory=17964_KB
-

- - diff --git a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.xml b/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.xml deleted file mode 100644 index 841e3bd..0000000 --- a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/webtalk/usage_statistics_ext_xsim.xml +++ /dev/null @@ -1,45 +0,0 @@ - - -
-
- - - - - - - - - - - - - - - -
-
- - - - - - -
-
-
-
-
- - -
-
- - - - - -
-
-
-
diff --git a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsim.mem b/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsim.mem deleted file mode 100644 index c5b65bcedfb4b3411d66763e67b0d1ce9af9e788..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 107588 zcmeI53!D|jmH!(-P(i`?08yDiMbIcScjnFmMZtjL1I0I}=w)CA7#tX!83eN?;I1p0 zkbuSqDh4&W_&_mP4JKDsEyHbxqJjgGoqmqq}IffcRr~BR>(%tvp z()IcDt?D|bs=syW)T18^mSu+dxAd?x1~~E92h_Q#m7aZ8EwHMyvr`VXZx9nsJYcv= z^g;BYrsq<#PiOC8S?^!xB`KbVs`G)`E0K`QUQS$&SiBQQQ76q;=1QP=m(a6IPVo%A zT589|dn7N|jkuJ&#Td4zI8xA)L-FvR4y)^StH*w>_o#&Qa77tdC{9E_`dzTDq2E zy%JLzHRo*+afa=mw@1XuK15vf3hW=2VIS%7%A&RC+A7U@3-x>0_PjG9PTB@>(JQds zEYsC8mUVa0TDq2Ey@l+_Ya%Xs1=hzhU9V_atBTgrwG`_u#3}dL&UK4kf%WxA89BVd zJwt~2y}R~^nZy2J8B?mx9*&-~=oNx+K2o%nuB~Ieh5VDY zK^%%bAB~)|hdk~r7}##M%}aT0NSyxnPd#LcDj3M=j=c&!7CEog+v~b|o|}{Bx(?GX zMb8_megBv+-hE}`)6KyCl9)yP{nrZBzQ>2e>)!Y8g@#Di;V9aJ`SwFUZvYy?iO-IR zv#(+l$f8%sb7H3J^qK80Dq4%HVK~j#tM$Lzo{lYrGnDmUTJ)TO`<-p;Y8lIFNC@Zj z=s82__ZiW1hSKki5pinsQqe2q$EA!>FXu#FQ_)(w7R=XMV7yDU&bTOg1=d&SdD*v$ z?jrtX&cN$ZAO9-carQUi7AciKIx2v@is@#>o=s?HO767SKs9ok+>;gybmXg_s1dey6;QFo)>-> zJ!jx~w$S|br|3BY@B0gJeh?C8T=VQl3F93kH~V}I;*6;co#*_8+cv_!n-nIyibS3>t4sW_eUJc{p^%|y}YAvp59;7EWjCf|HZbk zPCdRPA)J>+&slWkVgD4e=Vb}uoEi~lIh;!l*r?m<0E%@9mxshzV0{gBKK)X{c<)IV z?+-%aEikW!G7tPRA)LQT2%DRd=LH$> zVRLSZh_fG>>iB2y{U7?a)2Dx5kBD=pJ%hi`F4XVuM#SmZQfwN^{JA}PPC+gh=6JjQ*+9ei!2_o=Q{%VAFedVn1?Yb;N-X#-* z@$vI!y2DxM zxz_h1;w-l*ZO;6Z;$(G+*za8gTE-7U;w&)F1?D90?iy>|l26v}olgc%==Niw_ndmz z8B2yK3H+T|RP7S$^`+_50;vU33#1lEEs$CuwLoft@GQ_}-&nd+{;v z!-Q~t8WQJ?^5G8x?P*o2bM!l1KxKIRvftApmQVXOONG*_BAhkehf!)Uf z9=8V8>7%@I3+L$;qo(`5lrNica}vt;Aa^C?yOp~W!nrDXPPzbHq)SXc5=B4d`xCQi#}dN%cu1U!u!oTSgghUY zm=DE!;a|+j-jwG^+W+UJ&p$7={sDjgV8v^HXn9@~$KBN;5rcb=!t((yJNiAk>T_?y zm~~0K3Y_+JkRGlJ9=+DS{`=Rd%pK7C5XWF^fOQcli6eh6rQjFEGb#<{i0P;JIGkBNp9s!hg7eTAIsa4R zoaEx9jGV7JoTI_{J~$7Hk#n=gxn`PaPs+%7?P{fb5;%L}=J@a!IbYK_J98!{W#l~S zUZs38I1dKrkQg~%*ElD;I4L9Niw@^O_{VC;fKyt9?vE_!_wR3LS6O$3X-~??*>Rsz z7S7t3IsZ%J?Bn7Tnb?b*{0`t$^!qq)=D%SW`bG7u|WOf8UFAhkehf#@wze!sc~ z-%C_t9hrdn`SZw*V!cy!n)hG0Ts~}=Y_4~dk+bn3r7E14KxlkZmapY+Pk3?W*DO>& z(8Wm^Ir}}llk-Y&9*@(w>?!Y*7+Nnj?MWFqpVTRemxEKZqu^9mG5zyj2#L7N#Yq`Cdp)X@F9GNMF>^knaW1^jv?pccTG`rPdg zr-1NXa1Lj|5xd9t#Pa-B!tBRY%-_Kn$A5x7GRUy~>7Ck0z9+Eq<5;~b=JorWYxW!c z97x80PPAo`skb-e(ueN;m3jVS6du6~q;YD2)B>pmK4TU*{R!0|&X*&t`PQeH_hgog zZeL*T$DCKgHprMW&H0%!a=!DF;vHfwvHD`87ANOQt?%zR!{ij1tQF+szVQ%inl%WV zRa#lUq`WIhLu!E}TVTa^6+P#ZF_=#V!~ai1c69TJ?DBYjr@q;s4Y!|aj#K%bLxPl$ zZzdQvy7VX$J??o$ceP88GSQQ71{fB)^e7WOd1ucs$)!h`=nbS2;^8hm%0zFFrq?;% zY%gV^C-00IHoNpF6Fq$%TIbTEO!N+AWr&x$^e7WOd1uLxbLmkgdh*VYq0Xg8ndr$o zJBI!)J<8~Z2RaWPu7n>BgC9;n!MOaejF5i=N15mi)$|6s^e7X( zBQ(A3Uofvrndlv<>1}lBQ6_pvX?m+&dX$OYFimfvOOGPA!mH zAhkehfz$%Ku?6z)lN}qBJMof#GmUZ*o@-6P`gu08?R7qe_bBqthG9dkxz1B2`$_#7 z)*UWA%GjP|KUOuKi1y4td(OdWT>BPzcgV24#%vE|l^7(h zPjwZOvqojwzdNc;PRhu6+>1*2Ja9e=&hv2^7iX=;ImyLI8985dIL`;?55RdrjGT2E z=b9?ho|KXE+Lx5_1>oES&I@DYtk*a@GbSfx;R5I48R}DI@2L z4(CPS`~aL6$H;kt#<{N2v?pcc?AWA~XM%IjUY0dEM$WMsXCD_QW#l~U6{S22oP)qQ z1*dV1_fs^^T!m>*%E6nG`KH4;6r3x-*%~9~$r|VC5vDywCUcd09-C$@vF-=wSz6g7C2!oUX!#!daO+;{ z5wvGMvg7iPF`B`K4>#>DGO?}O?k%wUGvJ)4l}(eW1yT#776@X2aw@&fgo?|oC#+{N zuPnf6bo&c)eLPh|7=DB~|4}CIQ{~$thR&g;9%Z5@-+nM`cIiln#aJ7KZ6~w#%WyZ$TtXycuToypS;ZB9KS^=9}muV!MQL-&RaFk zIu~bN=5TIvIER7rBXCNqqO>Q`q~CAj3F75trakjAhx69Al(KO4#b!QE&f7K4twT&s zk=c6$hm-f8M}cz)IIq&mrpe@6pxkM~*tgBL46&ZIhN8c}i0ruL^{;CPlMXZeQe@(L z|9gXKw2cG5wMxgg`_Bd32$>5uXa2g8H|On;<|oNsPZnx*hZ`G$jG_2*1ZkuAaD z<&RImAFpG<0x|z>M*$U2QBukU88G)#oFWsS^YX`M;E(^HmGw*V-_rTX{qmDiF37lf=+CN^rS!*(HACOg z*X(D?$hqu8rCbVsly9&Yw(nzdicEaY%O9VEKVHv*1>(U4WQ9aYDHmki)c=oaX(|0t zzF}kNv$xsLl#%nW9ZItl{&)!?5$EZp#b-EcR zrCgA4GvzO;@ul>~sha(__B8vMGIEaoL@AfTA1~K9$GA8}CO+rokFUZXm$K~g-}v6o z45UssP*|eyWs9;g30ubDjOhy0ep6A`_qU^2aye zk2g}WKzwikSs_tU$^{uWiyVuV(jTX3#@_hpr?x{fi!yRf?V+B2m%<+#2!*)J#VIoJ zIWK>F3;uYMR@N_hke{GPep1Q>88>_Fq58L!{y1H;ciktZKT<}{Z9Plpk25sR<^N}L zicEaY%O8IWfBXu|&hdZ#cp!DU87HM&ka4rJSLyw+QM3P=kIjCjjGWi@E}cI%X`G!O znVcdMpY!s^ZScphYGwVB2lxq!fg?4)fmYE##!)_q?8LXZeDZxx0L?aqM3fycGDjzBj+;% zO6QMrHO>uxGC4&iKIi3+y?W!{KWJtBlKuSzMe>tUF37lxHv_&9EX=b?gM{Zp_TPZ?&l{clAn}vLB`ETPXCs2ew?q_d&2Kcf253@ zZyr=Sf4oZLT>U$fQ)J?EUj8@${&=%i)-SoQpP)#7QpyDxH#Z(!`uVXweVfW}K9ALB`FXLrU+D9h&`zziav< zW#s&1aOwPUfyTM`9g|aJ;&Wd9co_Wg8(LYvWM4l)k^H2T3o>pVI<)luc(rElJAQ5U zGiBslc6jOhaiPY!{cV#|Wa4vP{&)oZ@m8&@UveKmL6Q8VlnXL$>W7rx9~Wu%?(>$} z&yOQ1X>y88e9p@skB2|ru9fvmvJd>^e)&l$7i8Q_IkNQgzh?R)W#oKucv&ktk@i{Mltb;$U)XMrLp|(pQDdmEUn?)l^KR+(f zdST-)%zmbfoKuf2oj+cuahAC_MJ7Jy<&UG`Fn6--W&Yor;kDu}g`|`VGH&+zeCho$ z|8JAg8+AW7{gEnDKhanFMm7>{zCZaPf#R3DdmEUo2Q-r zE#>{pjhele{?zPe%E-AYTRMNdN#oq|6O&V9;&Wd9crN_$9<8ijQnk!a>YtyKazVz; z={2e~rS!+IXr|urg4xfMk@L8^()r_8HO@&cPLYYvdHLf-@W*dzWp~$Pka6>x)4!$k z$7Nav&H9n)kCc(~nNg+l$FFIe8=f~gMP~cl>q=?o-+z38uVyRL#g0*nv+H$TcF&jZ@|fJ zKN-;D2H0QT_e^_IM$RW4 z&N^_u2hLG3a_Y|-H$H80Qbx|H6O=v2g7g2tc|wew`ZK697bj)p>~*^0JQJMz;YIX` z5pkBIUh#bW|4G-MO)Ok*+LJPJu5~!i0_RY0j<##FD~;gC{Rfw(Zmd!3^=j|b<~;JhMIPIF#;fKZ4Re%qV}C?n@uhjS!2Zvtm7 zM$QK{&P`94oFbDs$vt09!+f<0oHbh6G#S1Hrc5&DfkUmaJ??|ur{Pjj&jbIYHD~?f z$}gBluEz5e#Sm~k2CjyPx%7Epjm9_7;bRtMetC1T;%gu56q;`po z51ZqZGICD6SW#ub`A2YmAx6%pG|n;?CuQX9HCb_<08aU1OygqYd|KmN_>gH&%E-Ca z;XDzX2Y_>YjGW)oI5({^IVmIOg;SJ0M}zZdaGn|?=l3*$yz~9-d7F*=O}QF z(aNUD)B>Mb3tXbcCtThRhgj2kjKN7G&XmLvgc|LE+7{V0O6p;)?#16S=aan5;e24K zqB;zmXMwW`WuxOX*SkkF&dv9moOzkUIq`BubvQV$0O!mYIUm(HD_op;nZx;^!+8`q zuL9?+7&+HzoJ;RB?U|Q3oU5)-_8bPz>%loYM$RWR&Mo(voOzkUIX@d(;(&IIp%)y!2!;V5Ik$SHFU9GNJcdLG39=V=uR1|~3^)9%sjFijV53JYt zHr{3WjmXC0c>g-3^daU~Im9160;l+s9sc6~cf_cY#;FBT3#1lEEs$E^vuc5RZ!mWg z)0)ildaK;}E7r|27{Hc9w11R!`7O=J+iy|pI`c&SwkF@?$eAbdUu*Jpj+}WS|BWVJ z?#P+Pb}yT2x6&GGm0SDlZQ(ci{nk4g*N&T2yO}54?`rbRj+}Yq9zRcU9}D7v;2s(S z_g0N-jKjq|;eJn(mpO9giTrn(ymN(WH}gdPdriK@kuy)^f6(L`969quzD<*_cI3S&0HZC{!zm$=0>U_nQ z1z!eyN1&|A(JBcosqfQ!_WY$*Z<&jeGII91N>SB-b38bYjFIzK8t1~VoA#uPoNFCU ze4lGg2Io;Ra&FN$H+{|Iq>P*wwkdn=56(H@92PMr(e|)<_xvqS5D#~8icH2U?^&QcM82UnB>Uj-yMABD3SD1h zh2#SuKd?ak2SHY-{evMF`|WD`q-{|I>Hi=>xOXK;pGFbLtw#IKE4a^jeRuxfdd}!Jb z{h%vHQ2l+OD@RcM{h%vHP<^h`LG@+bmLsVC{?L^psQ%}mD@RcM1E4EMP<`2R$PrXu z_91cv)jt@zas<^M2wgdX>JNgh96|ME4<$!Xec4yZ5mf(B=*kgP|1jvv5mf(h=*kgP ze+YEt2&yk@LwDAPBcPv#t`-Puy$;%MLLmL-w@$NP{p7{2Q%p_^r0Y8^;T@;zySH7K zo38J)gm;{-@7{J{Zo0nH65cV_fuQqwxpy_YZEjGzrR!i}7fIK_!uCnm!NT@Q*TKT} zN!P)`_Tf6XtIuQ0QN0{N*Mp(ZO~XzL1hrdGy|C`L!>UJGD!wKA0Iwj|ku}gyF3)x4 zIjWz$nBNwYqiW9()ZSt135>MWuf2rzll$>}2@ktp$8jVcVlTw;5{?lt?3Z!8fg_8D z&)>lDXB?+t(Y*)92RP2gL*oZ={1wL(JQQAsV^2Kjy$r_>a16vl+%_DG+MDN&Y;10; znA<$RJ=f9H-jQp+sx3FYX?DZa6}GVBn)yvuuA_CnWvEzZ%xmswZfL2i$z`%Usn6sx zHTjdeT&C7Kr>W!ordbW`?aj01RaZk?WoBiORc&UW#+s~|Rg0_|GYhrXxT>#5R-K`h z6`*=Es|s18%q(!#R+?G3Vr|CElJ=PNSzNK!q|c5*mPubzi7b=823)l!eGRy3P5K() zGU;oC%cQRXSDi^;1Fkxgz6M-%CVefq>P-4taMhXgwcx6&u}*7kyRxaVO60ZrNu9M| zL5FFl`dpQX12a{bG?HV&NREpI?aG=>tw$qjDxJ$`!~-%`^W3Jnbh)bPoO$J{x?EM( zYMb7=VBUuVSHXt1GdmS!aSj^Q!fF@=ATu1@+Ui`Dlgw1s|LS4@E z|7u*daI#jf#G=7u(sJe8U7j5x>?LF8MA%Wa3q(<+F2Rv zlncxYz&A40RzqW>nT_k3M#Myyb+rZdGCRH+=0Y1TtIk~Br8XB|RSr%M&C1L1TQ$o5 zt+#*c?B81Zx5oa>+P~HIZeUC=IP7qd&L3(A%3A}HZux~w(PXezofgY{xu*G!l3#O`%gbE~0f4_jA%OHZ0z zQG*2rLsDOcYTyE{QCKTlrlVjOX1b2UjB6m)VeW8^#5zD=D7I_!65OfI@%wCL&b*E| zq-&f?%P>$IwHh#yjdE&`8Oj`uaHR}}abt7){Fa7m8rzz$#$2bjxEkvL2BMxN>y&G> z$g1ITTUj++ek-d69Jd4WZhk_QF=f_yWZ>_@xXf1JhF7vS6SmusJN)Tsja@y{OXDa2YZpfdGa zKj=_EuvCT64-hUwzvwW2kf2oSjYh`NdV`=S85A2G9iqd4&wsD=JL^f#`bm**PTrYk z@8`U~wbowiUGMMN@7|{;-E-Z6>t1(gbh@p99?YY%_O%vpw^q($nrW_c8m<-S;!M?{k2;-M3k-A2{c4 z$0V=&joisGpWMdz=`Fva<*#q~TU-9IG0$=CZTX+K{IA;fpKbZqT7GHXJjeTcS>BkZCt2R09n7=cQTlW3Ln0N2Gk7w@N$B?;a_kFc?p1WV^pPK{Ky>^}t zB+vQd^=jq#mHdh}uP$qI=BhF89%cJW+n7*Ka{QyM|C25M-Io7e%Re>dIp*Ch|Hqa; zBriVB^CyjYUhDFfzo_Le9`o8{ZG5tQpBvoAzRd&4S8JoW@#)u|ZMc>Pa-P|K*UUMm zpYc!5>*kpI+x1>I=GlgEt90$!)6c%iIc5}EwsCA3=k)Qp=FIbFY}4Pzocp_4{rw(Y zFW1%2hilIH&an^;iF7V#>|Fur8EWU7ky)`e}!|L&_SO4>YyX*PL+lIdIl}Z!Fvrb7E&(bwv zJWI)h@hlq>#vfWNVLbiB-1X&iPr~*;w^+h>K5Hb5=d)MBcs`#bj6bqi!uZBw3FGG# zOBml&EMffoVhQ7qDwZ&QL9vAKM;A*Nudn?V3F8+POBjDlv4ru>#S+FZE|xI9rC7rF z)?x|cmlR7Fe{8XY@k@&(j6bee!g!%&iv#$v`|U$t_un}F8^?d+_-`EljpM)ZTzA5F z`iZ&g$A8-&|Bd6nar`%q|Hkp(IQ|>Qf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)P zQf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)PVt9WgK_GEaq5F{>Vt9W zgYk@O!Z`K8c>0OC@ufc4{?rHK)Cc3#2jkQSYxF?LKpO_n8{I~t_-#Gpo$A9DaZyf)P zAK;ub&uJ&x`O%ko&iUW=D?@Mp{=+!uZ=CZt&iNasz8R;!8K=G(r@k4dz8R;!8K=G( z&%8?*r@k4_eNPytz8OzHF?au|Z?-@6%{cYVIQ7jq_02f-%{cYVIQ7jq_02f-%{cYV zIQ7jq_02f-%{cYVc-{VG%YEkl@3?*F>;4Qf8+RX9RH2uzj6FGj{nB% z_AOiP6Zh}dcMpC2{ogqL8^?d+_-}kTli_#doZt34)}LSI(H!UejB|d*IX~mnC*#y7 zYyi6ERKQT8y@!$5xf8+RX z9RH2uzj6FGj{nB--#Gpo$A9BByvvqxWPES=)uFGiPmJTgar`%q|Hkp(IQ|>Qf8+RX z9RH2uzj6FGj{nB--#Gpo$A9DaZyf)PQf8+RX9RH2uzj6FGj{nB- z-#Gpo$A9DaZyf)P7bjpM&@{5OvO z#_``c{u{@C<25|XmT_Qwj?MUQeB6Ec->|d)pekH@33Wm{dvAvJATHQw)yaoWqPa!_R8PAOnm>cXL#6gHGF#g7Zl?455H>SyuNYjvvKOPaZc-n?e%x<Ce+vH6T-LHZk))n? z-8Ax*Hyorp=b2iZwtMaPFTHx`slTfxsppex`+s?D{^mMTEAOt@|Gs*twz4wMH{Ch% z#l&}2*WT~{^78Mq?m0U0m7Der+3+_~c`r!Y^^xIuWo4S5c^{1fdHq{{YvQ@mgmLbV zaqf@t2iLI^#vf8FVVw1Yan=vU(@)G@KkEnEpY?-r)(^%XQLmpc&icVP>j&ekAB?kp zFwXkHIO_-FtRIZCelX7Z!8q#&<9Xjq7-#)pob`io)(^&6KNx5IV4U@X@yywTan=vB zyuCkeuVe83wCAJsL$&_?YaIWLQf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)P((#Z zbL$cRPrZ0t-?YE)Hje+s@!vT98^?d+_-`EljpM&@{5OvO#_``c{u{@CQf8+RX9RH2uzj6FGj{nBD)Yv7Ap|8LH8^?d+_-`EljpM&@{5OvO#_``c{u{@CQ zf8+RX9RH2uzj6FGj{nB--}uF)Lc;i#VhQ8;Zyf)P(rO+N9z&)H+*L3 z>;4o-pQGfw?8PW_wb zH#~#!{VtMv-g9L5VP$c{v*>;bNuEDA{80RvbU&4(o{vm??f6e?eD{ZjAI|*#`~}s0 zcf~1q`~K@C)zADt_{nkq_W9TNuw{Lx-u7P{UBAEax&60&gZpZJ+NhvP38em`;ibM-^DmBuqZdtW&4!$(8c^VOFP z{Ls-QUbp}8%LjhnC*afXH~RSRUfX{fpLst0e&ze>kjJkaj(_qc8PS~Iz8jZGJv^Hv z&o6>cum7CtW`6CS`F_PX_s2N*$2j-LIQ7Li^~HGZd&2mJVhQ8t7E2hXz8GhHWt{bu zan@JHH`ci&jI+Kn&icwY>nr1|uZ*+4GS2$SIO{9ptgno-zB11G%J{`Kwh7}~iY1J* zzB11G$~fyQQf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)PQf8+RX9RH2uzj6FGj{nB--#Gpo-&$@YjN`v?{5OvO z#_@liPoEDit8=8?{)6~G@$UiF^PbD$)8~gB)ldC6(mr2g%YW6Xa zhjHqMaq5S0>W6Xahw&P^WxKi_8Q)!3Oyke^8fScsGrqX|<6OUSuHQJ)vtxx9^Wq=jS-rZ#=J?FwXTG=lYFv{l>X||IOAuW@iWf&8Rz}Y_`_>l6UGZI+w<#@@jo^5 z`w!!czj4OjIOA`e@i)%+8)y8DGycXI|9O7%b>r|Y){^QtKJ)h zKc_ZL7-xKqGrq=$Gg&NNRtfii+aC?R{rrq`e#SXJ z<9t3f&gWC(TkF^fQ zf8+RX9RH2uzj6FGj{nB--#Gpo$A9A+>sBR<Qf8+RX9RH2uzj6FGj{nB%)-T)cdc^-je?0Vc|Bd6nar`%q|Hkp(IQ|>Q zf8+RX9RH2uzj6FGj{nB--#Gpo$A9CS>Q*O=Qf8+RX9RH2uzj6FG zj{nB--#Gpo$A9DJ)qPDE-&8DN9RH2uzj6FGj{nB--#Gpo$A9DaZyf)P+k=@@!vT98^?d+_-`EljpM&@{5OvO#_``c{u{@C z^~pHrXPomh&iNVV{C=dJ z-{w!RKR@G~pK;F5IOk`a^Lt%8zhi%~{``z{e#SXJbr63yK(Bfaq7Eq>br63 zyK(Bfaq7Eq>bvocb*mD_sqeQf8+RX9RH19RM(a;j{nB--#Gpo$A9Da zZyf)PQf8+RX9RH2uzwyV^yiOS3Tr6Q6|Bd6nar`%q|Hkp(IQ|>Qf8%xO z%l3+T#Q&q89{T$Gzj6FGj{nB--#Gpo$A9DaZyf)Pf8+RX9RH2uzj6FGj{nB--*{d6vc0k% z@qholLtppbIQ|>Qf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)Py#4^)op1i^|IT-=@oQ#}zkiM2ynpWax2^Fn zT%YSt`+NoUZReMkUG;a-U%39#Aw7*B`-@HdPdxP}t@G856Hon0>-?@w6Hon1 z>wM=06Hon2>-^Y-6Hon3>wNF#iKl+2b$)Wo#8dy%I=|(y6VLlcTIZWqC!Y78w9XGd ze&TumO6z?4lO~?`zqHPee#gY~{+ZVK?k7(?@4soCAAick^ZuRI`N5}8Jn#Q$oiCm_ z@vI-xI=}6^Cq87S^VMfheAxSRe%Eu>^PjB^@qgFzCY~%|ob`=y);Gpk-xz0oW1RJk zan?7+S>G6EePf*Ujd9jD##!GOXMJOw^^I}XH^y1t7-xNBob`=y);Gpe9}~t?UlYbt zpA*Je-xz0oW1RJkan?7+x7N8OjI+Km&icl9-k%f3S>G71U6<|W>Jk4Jm#z2TIQ|>Q zf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)PK{|Bd6nar`%4FTHGUsYm=jwsXDz#_``c{u{@CQf8+RX9RH2uzj6FGj{nB--#Gpo$A9DaZyf)Pg zH1y{Cxz%xlzi<4+mnNR`PwV{9mxsRWpX*QSe8b71FFfOy*7@!KKJmYrh-v@$pVs+3?fcEtkF?JBoImZK`jgiA ziT3?w>Q`Flhc24-PyI{le8a^PPyI~m{PwLAPyJ2neA}fHj~8j3e|&Y~ssCx6?|Q<- z^Zt?6`F-vC&Ak7lb-sVww13{e(mFrYzTeFIUs~t4K6TnZ@1JR%Z{9xfy#Jz5}Ac0Urh{*)eiUub^ z#_eFNN@;6-(;lp}wG^$F1TP&VCGj5cLcG+*sy!2fEnY!uJKz8RthHzMo=m*-wdeib z?>qVZGHdU(p7q?;q!t@RXMJj=6ed1ckq^z=~^HR@8Y zVN|ZkH12(B>Kx-QDMrTuhOyT;-WWY>*kQ)g06G@`2O37U8)+she)@9&^ ze{D#CW5sR5L@vPN4u4YDb`iU`6-jZ5vG!nI{EL72DaM$8q3TqB)k;6=i z2wfkup)-No6Niy(&^>v&%4;K@QovD;zpA|WZ{|5C=ggGb14be#2V5%B591K(3UJeA z$mP6NBz1uM0n*P^Y5W&((@&Gz2FjTU;)fx<1@MkPz@3^SIlD8EWCM=2YfA!m+BAV{ zr%m$#NB*`X!sVZHa_*@D_Xm*|Ka{sU5e{@`D*hfk4&~*5n~3zWByc&|xkOk;JgtBu z{l39)g!!Mp|Nm*g7ub{P3v{M#&luN4>2aTHx*kT4QD~``@C`lFPeXtALTt*X6o8c6C+k z>Pmg(za}SarZYe2x(OItp7LMT0pB$u@9zNqu5D5LMLu}gFiiJ6K>32MGe46gkBRB} zaCnLl%#8dNqnYVGk{EXRN13j(0oxMxe?KP-9<#t>zR^K$BI|*6;9lPQ7P%*ZS6|5W z=f5%;F>G}%$Eb{!rJ$MdNa+|~$Tw#3>d!}~_-8R0meF!CN&@Ya_n?e5=isK$Y}$`I zZ%a7i&Tz)V;r^46xj187A(#uLANdG|TKCCdvCH*K@J0?aM~hnn*USf!fBZ8V%?SrO zdQfBlNB>`uA@vL9unzs_jc z37u8>%n_5a0pm00rsjnA`ZDexI}Y6Wpokg1ncFj({{v7yGw;-4DMrqx18I`yMP5e) zV@GtiFSu7Ky|+&)HU7zT{TD8CUJvX~$!KPmXS!ZN283;{#a)+c)B8#&)Aa=M1#A)u zT3&A)MFwti{V$?2U3*_pY#iv#32y~}k@HlvCvrNB1}(jajHvrZDJit>6~Gv&cuWc| z_#-QiJpv`s7a>JP^HBhWfBpvxg4?3*5v&C9(tzfee?av;5%2G*q_M|h>!@4h+$}E91^5o1gNh;-ck5$?zZO3xrb?(Di#iEu1(%1;je{ zz&nDj-+YSRnM0>9=)ND<87-JY!E1ktYjeu)FrekUp7Uv_B*nMrDc`oYhDXlqG>oEP zXHK}~edv5W0Io0anFo#w>_~}>L0Ms_I;CXM`^CZj$iV_S;uxQK*tn8l1fux@+fyUM zkUjI08=m$pdLQly#ZVpY*}zoPHDZLib=?oIDh^IM6}e{mN%-{zGmA~vR9>0xaY!N$ z+)tIbkH>Y;<-)JGWmiUX24qId?;qg(Q;nk|KiN(Lzl(d{>Q4IFyerBU6wEJJfaX(( zs?P*IN@@E1xQxPQsHXnk(or8NoLJt!4_Av@P1n{>4C7JyI31y-2lnU~hr7rHg7_|! zO2L~b$QVaEUUM|e7fN4HRyk?Raqs&lB&An%Be(w^`~10yd9VRU&7$WVg`mT2EAYxEBb=3&buP|XY!i|VgP?g>fg#rP;^s9^G05} zP}Ud*N275Uxqq9*5gMX!bdMB7dx%rG0!Mjwl4y0_de&`5UAknXF;@2{s%}Pe6{L_t zXZ@T{`#+P;t{H&fVJy+L=iP#Y{ARRFLA}LOt?@<3O82Pjvz0nj8cYGrmQVeEjQC&# zfrEebZwGP(BtpFr)@A*_5`R@i!t=IR(XA+IRey7Q!FMmc3PaHg@wK17ug>#4HaAF`lkP-d0{0bnsWeBLp|oSD~mlw1(}> zbhkzDYoKy=5dAt3%tf|=w3H19sZZbM>-${tO+r)xxRJpv>fS@#fzG~(~S;Ah_eF|!JdBa54 zjL>WUi`PoGxda04ED}TAUZvo64{i%&?f?u?_Xl67>eT>UsrjlS4EfXPT%BL4*%_o| zozFp4NNVkSm=DGDX|{>3h_0}aL__P?g;@^rWYm3@1_u`qX^*(&Dk_C8e@)&^^u|M8FgO;!cq4${6de=?NVG>Y_Wa6i2i4sVU~o)ZwqEj z@OB2z9|4cx^v)Mr-%5kQ0b>r8hJ4(6xaRccJXI9jA9ddfj2*{_4G+U*vAIr(?^@VN zqUaRp!c;cWxgM3qT9|fex(3T*lt_V;#7Ho;&2^*hcVtf8C3G=>m<1w7d>w6Q6%%m-jx6*k<{QfYtQgr!2qen_K?in9sUz2P*ie@(#bv zJ1m&DqVDAa(WMX5VI{BhlbB*f-KzmTkVS<4jJSP^gYONb+iyRQx^LJ| zix=P*7Pp()y$pG#Hyr3o363lJ_CC(1qwW=8$@E63zBReXGQE4``b=D-?RdZ{lP$(7|`8>Tj}$uHO+K+aLoo}OLbh|h3jpb znd92FnKv#}PgSIwaBHjH-h^zkB!cc`T^))hc=rU?_;ImqGjB(3+svDhsL%cxSGAko$ILlf%#sI# z{~Z5HaC_P_{$tYK+_!sQ&-nfL-@b3xzMbQD;{TcPd&hSNcd+xYI*F+JLXZvi0&edn z8TZ0OigEAtgmEt%SQW;&$DXZIje8l*Gg2`^$Fy}DFez0QB0}@Pf)6P_)R9& z21}~DcmW<;<4Eo$67OBAo8F+i=~m5X;sD(mSky+tv)mW&?P zegLB9ju48YN$tR{7D5O?H-tXeJ|~l+z~cnq>}pit@k(CG1S_NYPKW)nVie~(C^nIz zYMCjI;nE#_bdU+hU`o)!YGlJds;gzbzJ_^99oSAGHYrw0V|MxlS%%;)EYT{Lmb}uP4~T{H~TWi2;9bCulSyO|o&5 zCMAJ;2$d4JhhUhSgtC#wk$sQI1W-vqU~NYN#_X7i8`zPITXsAiRr_->$PBV0YX%z|%xD`CgWI!sw=h6u@~NgS#hW+G9}(L$ zrHW#b#59ux9D+#}1s<=#uYovu$aVB?x|Ciq!aW(t8TSbCLZLbUN&H#`8lvtqpq7Ct zW(#q^jWKOVtA-MMSZc0R-uc~%C&|gjT$8!QA=#SAb1F1mY&2_Ma zdj)V8g>ISHC)4UV-B$h;I==_HDq7vyjpEpZvpD$YsQWnpVBW|Xp<2htDu%Pi!RwX4 zo>GZ%V6ML=3tPnvUjPjx^q&WS70CcvG{7VPEJy}WsQ@xsI4S8kU)XK}!-y9qVfzZ?X+50` zY)Vg)aphpU2W%%@9H)VX6$3GWO4~UTm&)>78SiHVKd3w-&{@i52$-+?T@vx{MMa7~ zp881!utovE1ByhlUqmR`T>K__Kr`~RWD9^vNk*Iw$@bGAin>~pl{ zA`9ppb|@iN<6xkoU^wa?Z^2>COt?8izkXK%)p!)G_p zqF?+SPlT!jUyk5=8(K_kbQy7cYhSSN-H0m(zH%4v-3NS-qXLBqh7wtS3QX(?e1Mtp z+vG6UXqbtBIdTY?ISK|H#ysJ@5nM;z$0y-^7V@+%ZUHi-i#50s-q+|MBRm4UXT!-r z^EaE5^Ys=msU|#MXr|yk>i*r^fKC{u{)9YDGc!hWR3gm?X=+~_KLpKXnr2DI0-+fK z=BWGZBs8y#(<}xuCFgm#a>$tp8x368Q7*{x1=)vx9xTAIab!Py&O&w@t{lj6f$Yo1 z4?I2ZZ~rVBjXl4M&nLF9Dxdfs1f6p}VH`lmt`)vEk)!y0VmzfCG@oby5PFRok2S4;&)mRqInBU{Ynl3u1%qv7n)q@Si}c)WX5`b@cQYY(;JH!S=*tyq~Uk zZ@jppUiiKoh@$QT@teqZF7iy*Iv~+}-=r_?d}o92{&Uq(di$@F=;~=;p|&}=Uz-fz zmkIz3`GujU`pD4t@terdy~s0Nt~f*g1U~X+XJ{@NdO(eQrzfK~Ge)mb=>3P#8=ZvS zX+rO(ng|THJ_)@h(mO}dyZPxP3ZOjVEdOc1de;cecM&VK4SZLS_@IGr3xJ@shkiBK zg&QrLcN6Eef|K#rsQX-EPH@2G$TMA!1CKW3!}`*$w@s9Tn=?90L3fUz`}obl=sbdM zaU5M)5_D@*f$l^#ANcz(DPF>``~a{(Ein7{h2Abu!gKp1^tK?+bR86@H!=ym9g-VCXi_PcI!*Z zw?_9zKGGXIoP=ES2Gf(#`fos_NZueMGlb-}HwKINKJrXgL!4wy5|VW!`Kw_@$-96!>b@um$!mpV5AbLypVF6hDL0VhX^P}0zer9pEk<&+ken*KLKIu2Vf}bE=liCwI|WQV12)HA2q+O)w!tLb@T51k zba@{f2gKN_f?p7l$FW;oq=g+ccqWeL;6CujO%?gfMw;zWsccQcy@#xEh(Z*t7kf^^ z#n^ZOKLbmFRqq)75jo)jJn?(O$hd<$T^2^&uS@K4yA{%uolGMEZmnHk^#!pb;eA4d z*6BXA6iO*HOL|RjU*Y6#1}+ErPf@Tp>OKv4us4XhdkhGaHaX4PsHS_n2k zg#85e{bU}&RVU0mu>qordL+2^wvq#~_gJ!E9~3h66Qtj6qg(w3zX>sU*;LX)z40O7 zOIm@waU`F;f|}l!B*0tJ!{{!y6ZH}<$#$aNz^Y#ToDE9mcA|{tn`smC?!BlI=XaX$ ziyaNH+DdKJI&IZ*4{9KPuFfwdG2Hu*i1o!!;1N1MTjyu#e9H^E^`-|8%8R%~Z3RT3 z_5CM08YG6|*0Ay$TicAfUjX>PNtDQcD8j%s8b-N>>{^M1I1u@K>SghfOic9QeYzy- zen4ff1h06u&xnM>`Hg9NW>p#Qx)z{RQI_J-`sx=iAJbXZv>hBh#|~@5TQ3 z{l@lx>EB|1;rGAW{t#sd`#%Boacb*i#d@$|E@+7I5*Fdp7dT^VK8k4E-*(Bti_ zMg|Mo2_XIB?Wk59^kZ|Y-lvvJ0N}_HNkXBpR03lK?H*Q@jjd7l(Wnr%om?rb!PLdJad?eOFuvi~OKvXS-If_Fq zaYj2>5OrsP^uRRDq(4(b;xQE=2M&tg6KO#^2Y<)~g7DUACQDj z065B^-(nZ+^xN;Te03)slaHA6{^$69q`;o+!r=4RkAzM6g=S-Rap=+TG>n_UeUtY^ zyRaWA_+d$~uYeDR{?-HGv4mXg3z>WWMDFG==h0rk8~!7an~N(v*eVF_l?v-ojFTR; zq-^d}xT5aG$k))m)!U8LxWj&CkE-eA?v6VM5$MdeKNTBl|1VAwBuY>{J-Gx^$S)-D zgL>=PI3Hq8`N0dYZuDhjZ0M7#z@ts5t>o!*%CiHo$>dp&d>|n75b|^qB7pM>_T7ql zzp}NTnLUUl3yvH;W3GZRepd(WC`)`mOY9fLRskL5I3HB2^Kc5t{mk>U#99Cw{$pZH zyjmRm+%iU*Fy9q3hC&y0zlxd`Yx4L}_>uk$zQO&G*#33=8U6(O*Q%G zE7GUwUalbeirQU}YUYm!{wb|1?S-`M8HJz4CZqtEmK$8vJ9_Sj;DR^&!_yY@0&6e) zmUBVXXGh%=6)~8LUL8g$yc#|vL-7w4$Ko7zf7biKaeR;4>pa5sz;iGe9?eSoFlSVv zs_Ko&H8;oMBuGhnENeTr;;ET4x8l806x?ebYF;>ETBZqpyVH8pdeUCaD16c!XI?g< zAoym%_#FkomkZLK^N;tY{dM1q*bMX9zFh_5U$*uk6pVkaaQuhkpDqZ#B1C*(qQ)i}(sn;1Qf6arsMIJ=(yvjuR3uz=jG8!FuDXYXfzFi_ z$=-imYRPk)$m0bV_8xN>#JF_jok%cr{!2r%XJ{dyH^pnOQ-VjHCRw!-L}PPGa6()H zTYu#^chNCHglGbqxDda%4|zF_o2mYt%v|y*9f?vDtrGYOtio zym-WD--uwzOX#smdZ4W)HGk}}8dUvLqQsTT4XV}r(Q<=#S(vbbOjtj_A^1F|<5(jM z2cNF6sa`8@GwxKo!nlxpN_E=pB#(_1w7>G%sQWy@f=B=}vBA0|LRtFEl072JJCvml zvap5P*FwMO7FqOMShrH!(VWAHS3mbyEb$imd_ux5$Ks6(QTH;1h+^n|;cez{4s72H zd=@et6JV@d+2=0g8-o317+iRo=O&<0qVgz@j5t2h>Q@rghfR#cmqx>t%_~AmEGeX?4V$nawQe)Gl_V# z0AW$EOHt(BYK!80t;#cF6qBj4Dz?)a2R3jHL?{lWN_C>+?|>m0|M9WuGBpi#ZLujH z^P8yK0PTUP@Iy|)WnY)37Bw7(2eL>n4@0d;D&cI;Y(GSdL(xee#+x zo>}X~Ez|*8{a759MH~nfi$f1fb3v1&AL1Mwu}Q?BJHBK*5Scn+(DGYcx&!!47BL`q z5;1y~7ZA`EcIfIvP4BZRV$`i8Mm>Z}5;5uttm@J3`I2_e8I-JoH z(BFhHf0F*D(_fN?yV2$>L?gLvc;BuGb2>47F;g+~LDuf^FW`T7a8+jlP#AL^0Ob>? zhZCFeJwY5V5{~G;nxl;7W$1Th51*)R1q4*8v$e^c+heL*xQ?nT$8R##Nkg@t;Mx+` z|1Ct4c!G=lWir)m39QOo_Q5&b=H<>opT8?C$KWL3> z<9Z@-oIgN6*E)nY^g#*sb9ZQMU?t5CJZ}f^451AUTuqiXnmKSaB{^`xBQJ4*uLe39 z2oiR~zOW7Ksxy4PTPX8+_)U;mwm?^7nJr>t3pBUE@*2x@ZYzxozna*jSnaK4B^|^e zAnPOv;;<<2IDhFGh*$V|se?EHp(A73?|@yYB-RmoP|A5pA%;vdlgq3Z(smc(0M2&* z;c0&=;H)3p^~b-8R-!iNzX+bofj-Xjb78~Sw>v239=hZd0C3{%6#fI#eoDx+pH|{m zGbMX}%b=o!u2s%+AQ-_4!lD(AI~zO?OgCj8FZTX2(yip_1LiPIviTQRcao%q`(?ya*)IGiVTjm} zh`1ey+Z>2j5%EN}C_&r~#BH+emscAstTzbOmlW3aM6B{$!-4g9jkQN%?FFu|VC7Z2 zg_Y;8FzB%NL3V6Ke2B{Cj04LIHZJF&b0f=FcXB}CxPt|UW4$41PUT*!r1*zXXEVCVzh+Q6XU)g$hVwBu-s;d+=NX zs7a7p#?!n#xJvWB6sYP+2~@q5GU%BFJyTjq2sqRPGuBGTq&0i*wr20ovg7EM&@w%t zJ7zON!-M+Z3Hr#resMqpFw+z8Y=JJ;2b1)O&3F~t=-v1@tFId~j7j{N!WAkzAJ}0t zMiy;RjGZhDbk2pflB{?6eg(ZApt&Xz*j(cyCQNV`y$s!}t~uGsCI6iCj1xIlS<3(q zZmpyL2;hCnfM;l37>p=ylZR&QDmPVexk+(po9$;QMrBJe-#?+Bug1^Mjx)AkuD@EL z5p%mh7w-{4PW&h>MrPdg;ukz%3B^@9;?Ph0f?}vwCk$Jwt|?NT7KxDwidN2hKEInw z3`nU9ruiO~X6t)v;%6PDB`>mk&jLHvY1&rgTBm96z%{hu0U_*GU&%W&ZyJD=vErv` ztF1~nOtk@eZ8LLlnwB>_O)E>z(0T*6j=Gt_HG83Ssf+86alLIbb4G02%p2@J zvDW;(xK(u%kFw%@Ay$0SdfP&iDD{RI|9T>=Y`ZVEGY2uq0Fm>dqQu`t@wFE+x!v~VA_8v!ek@=v=SY+NMY{G|Q>#nH# zIuMqlW8Std??#bT4vnD`YvYMA@5Vq?8)V%mvTiibGA|lo&S{gAv(I4QRwrk7j>kJB z#yO-12i@} z+NOwY?Uo(a;`FJ=W_8eO#g4ZGs@9@|z9ohAv!of>Pp6j0>NjE2<0T1gr*jm%@cb>IjzS1q8!doxA9e2Mdlq7 zQ!$yA`3Y9A>RmKePqV=`%FC{)vmo)ni83$Y3RX`TWU+c77#zTi$jXemXCg;d#$3J* znwvOR$5_7pnFvlEygJ4kQ~vfaiVg$rEf|Divy@kn`*oMcSbg6}{7II_pATLh-vG>v zE$1G18IehK{#* zPz~pQnIm(FNPJ%V$wP2ezMN%R`rGGLE6A2iaUxybJs=qG2_w=1 z_5`XTXhD0h>15s7k*q!^#>tV2lU%qTIN_$fcu(!Vg@u}vr1}Ft?SuGv{=sj+Pj;%4 zpCBrO%{s-;7?j%h`GSSX_<=d`q~(>4GmIt}Z;ryT0h*AugXdSs39KB!Syq>KFQ)F% zfeh0KghvFud%L_JQ)eK$N%6ARnk)a~caq(wcxhF<*rqPa6g%=n!4Yq@;x95aQ#-6; z<(Owx(+1pMwI72j_gA$e!{|6mnBO2oWBaQP1`WNx%72jtX#@z)KM*isZ}2Rs?C$<) zdZ_*+>)yF7bcvjHI`A$B}Fy61<;B-b)nLVWDoqB?${*GTp65(r5K*ezMP8-#S9D z#v*C6ffH0>A=s$<0_3Q=9ENn=jOM3d%D9jZv6{rbY<+wt`BOr!zK%lPfZt?7O6Si2 z&K+9FSlAN&c0Yx@LkZcch1@WNkQ)N4HfSO5vexK$cO{;7JUmwfRf`-CR1|fmfW7!D z2h{4C)ABAk3yTXVLYCv!4EQkE$eIDK{l2>XHfF#-CHQ zm_O_77Ak)0!vM%xb*@LHSyB^ko7nmtWE8Z^ZwHJ7N$4a1M7a(5;VP3zMR(ut|309OKOg^NbU3d5Qc!P zlOzbkqS&Sp24QTQMoxwocZ+f5V87Mlm>>k|xen^rBQu64Ve<*`MA$!JPYEhnc+ORL zvK)9$6+E`xB`A$8QK@+#DMcQFF4;(wNjg{%bw7=|Nq3hJ(y_Y)_XX{lQt9!{wBTJT z=0eN~)JobU=Aw+Q=qw~1#9TJ01#ugODiw3V)ty9P;jR$e&#LH1TO#5fAl~8-AdQHz z((YD>dw_V0th9O6W?>x-tT;FQ8|WgTXZ;L$a9ocEl5rU?`(vc5+(&2?h(PZeVc!nG zY{0QXR+>sZY@`_B<;l-Pkm<-Xnn3H9sI3M7ZVCMW2dHrJM+S)1og6-NwB<|QFLi<7 zsZd<>fQlaTc9Y}StEQxn7`#5d`-c=&t&cxksjk0`-T^TJwhFb23Tl!ivP3{Wx#_jQ(A-hga_z~&Af92 z`GQzL2)$GRUZxaswXC49wud>QHETaMx-v{w-(_W)1jfcMR4xcykJsNFz?b0pi!q(( zhF2o`xUOv!pl$Qi-~XET>%vN|wJ3GUbuzIBI(=ec^?I=bhK~$-ySu#4LUqQ#ujT!( zgW@6I6=|dBI$UDzW!G2jd=wKD0{mVkG|0kd*Ayj(aV0NmuwV@ zUT}+wSZ5r;T26c8eW3Ap&?QQKg~cvEa=udjzc!MJlK*DEy8idcuXx;>Apb8xHnc7q znu5Rg4wj#_zC`|6N`8gKE$63Ao;~t~o?r(Vp9}njnTea93y`U&b6bDFi9kDk6D9&*x%vHzdr|WnZhp51 zs*k`Z&28~t=pf)N8MwH*%?~zj)m4^SdRi$G{*dNCh^evaFyMD0J2x_$U>>J z?`~i@HeOlL^@Qi|YHX^ezs?bV-F^@8-_5vy_E(%kIF(2epI6)lNF8Gs)k@>0;x}20 zp~o_AlEWIsMDoAkuOqRp=Qx_gKTmRq&_KDQ$=TLk&u;{EwSp>JP7xO1H<^DjbRhmI z?-Hbc#GO1yB;Lo=3aVYNpk!T{WSJNq9B_pQcaMSj2E|+bhrr$pFX(B~77&sE)}XB; zc7Kw6Eq?$gw~mC>))C#5eZkkF?p^YJRIqqrwnAev6$!) z8^gmOZXM~1&5>#_t!PdO!U{cehxdSnAqhZ8hb8&zp208MI`^5{<_JP)L(7=DVp4N5E|sK z$CA48*WcDqgx}!zoBHdkKcfi$y1%kN-7=h3wRRsx-K&68Tocc%UDKD5b=!oM&L+zo z`jho={5Z(M{xpn7oP46l7fEfA7b<@Yp_pOyryfBrr=Y%$b3O&|uj!mS+DK_#>p@K7 zed=#jwof_dN|ZYL)N!B?bIw8Q9sRs=iMXdxS581 z48O^Ivlob@BYJ}u&{AK#BQl7@`-bX>dUZ$CN4O*%QD0zHpAO}`qvKWRh}QIpZ}#c9 zKT8<*N6C6FQ{+tIps>Gw$lBonB?8Qc7G2{3%+{B!g7P)*Ojf2^E-N76gtsovsOU1p~u&Ear|qEpEi%W4_Em4{KJO78nIpA*UL)B(+^ob^{_kmt{(d#%A^N;>=}l-WNzW_) z7Gfb9;)7sJ^GB*Q7umeeVtYcH)7v8MQZgibPhl-!Fr`X3AIs)73#`A zPO2i(NvXk~NX=~A^O=W8t@AxruY(3_JFmR?C%SJ)IIlcE>OK)g7{{z$O3o`^hP;f2 zM+S#yJdD$R=kobG=bMO0@!`(bIjI~1hK?*z$04{>dSqCNU+zFyO7v{oXCC)o_79k+ z(mZ&iMz!z!G49MK_6i3ofGtXK=L_ozM?SS;cnF`08S==lcB5P>jEY1eiq!68Dbfl) z5uJP>B0z3J>-Iwtwx%>z<*p=;t9S4*k2F;xlcp46`RYyzCePxM%R5!%ed|YnjmazB zqLR0iNYI`fN1XrN^4?Bv5RyXkvdKF|<#O1ByzFV5^8S?NL&&SY$AWK}z_=gd(b}N* z9qg7&*I|oLme7CfUpTn`m@5iPf2dOWk9_cCx-$bq^&jJup?yeb@UQqD%Y5P<^uB{$ z)J@udvX0X40vdY3DnFewlg0i>jrBWNjhH-#%Gk&0&Br>{LwPaXK8nyM)W z(sJH^gWXLF+H~~xSk}`IcFvbjvHCE~^3}{&lhMQv!{`Nmy9afx-C>w|_pWD^`OXfJ zFyJp+r&*d`s~z__JH(#?#SkxWI7+ZPJH+#lXL-MRfx}6J(%X{oRS;17I^E(5)WX3T zI>X=ZWVcB7V7K_9dMT#3&+5)z$nquefcW=-CJ3r6K!py&2h%vL?j8qnN#BqG%ZDw? z?*SUn;*&sc-l3{HdO&UQa-9y@G5wPQB1>}J^qO*Ho2U;hT zbei67bI!B2_i5td%2 zwwuGxa80`c#<}q-Om(`veH5*~3fJbyGhE|Ya?^WK(jz5r;(Ad0M4m;REdKH0ZKRyw zALo&x1j}sx@iRbL{?WMrRKMCkYEk7|@{iw<&qV(?h%9OUn5kI$D*t#LbEL29ANKK&IXga8{Ns1G3g!4% z@19I@w8Vm#bI7cIwLrSo&ttJI`Xg=JT8^p5m1hA(M-;PHGZWuTSlk7?3QiJ9TTjxnCW+-RNVifUjdgduhS@;nV;fV_ZR1%Tm)oIS7B6JkdF+RdTrEH%iqOS)L zhfUf(Z%4tmq_1Amz`V-l=~Ivu)7M5n%D>X5ccDsheO0o)O|-$jrni=+U*%nx4U)J`14upf&qI#Cp}v7klrv3&1Ac zXRzRVOZG5D`aEu*e3s1Ppzyw)7H>B`zlB@80p>O!>KG4J@kSSX?*n&W z3>$i`!j&!Th5b1C-P_a%+tFUAP-$(J9EZ_^3L$zC|T5jc)PpoWlG+l(KU#xGNqBC!*T{Tl$v>~_fx<4eJyW%S>U_ye z{f;Ar&vIPGzgNN?v{0@{7N5iqcRha*72DV4eFun){wn~M@H`>5->wM&9I}oP^eI4( z*Uu>VT}x_NR`{>ILx(cZQJ8WB(V+|8B5ocaX72d0O(U*Drhbr_4gpN}Xo}(ZGO#*$ z(nlohLf%ox*X55Ojrc>@6No-GdS{{3HX8kug{wQ8fFnt~yA9dC;BLH3f^PA_jnv1j z)JOcGABn~eVaC5_I&&FoB8UU8|D;%5e;dK7nCj5^a{dXHpf_8uS%@6Gmd0${GcElw z5XScp@f+ML-_w01_<{bO?x(sNjg3aW(5(@rxY*-{bpBk%yPg%|LD23P7s7?Bh2wS8a+nzt0q&nnXN~niwDV^8I!4fz39nGHOaE zJ&IdlGnzYxgB2OQpdB#fZG#y$SitD_nxJ~`MitO%(*Z4x&B-32Cp!&+r*Udb6XpC9 z=%k4>uC-{yw6fmjURfSggI2CZo|E5ej1zSaCtlZ&e0ZM)zT3z$^=(aB z(sZ>TACsO#(xk+6HR3)^KCT(Khp_m1<9tcDCla5YbCm)hW@KqAm{j7p1%cHlpdWx! zo`Dn9chTj$*f{+_AX%x6A{JD%!km|`LVtq&HVEv%17q1|OZG+OMXPt{T?m-*;zdMJ z_d_U&N4ewQw?-87fQ%dSG1=(ywvoO5>700sMD9(GVy@98t|SHb;TEr)D^&06OWwat zlK!pxI_cl?QBCH4(uB_-2hzX&48^`slK$--yRJN71}(W8dFbEfptiGVSPeQ!|901T zBxP$@j+$Sqf9v{+{_T(7hc|qC{oAor38w%_`nTuLPml&$c>sA1p}wqt3waX|IBI_V z{_U-6ZT;J@ukPRe0atOD0pX)T{o8d=0$Qv9qHZPL(CL?Z>rV1Mcw73j2GwO zeNz%oT@ag(Vi~Q@9xb)2Nq228BM;NPYf;)8p zT~=a(fCjS3nire}@P0%e*oK|YVw+6wrU~(HvELW}7W?Jax7atCCHKW=Z`T2}>1{IS zG~4HGD%S}~CI&+?7lu@?L2$e~>qiKqqNE3Gq7}#Agdh`@0~wQ68_+$Gwk1(3B`1T8qg#DYBNv7+LJTmHR1#M?1v;yqk>?OFF9zqfJZ?YFP-K`7o zn{@vsKf{Zd1?FFp=8snC5c@aZAa2M0E8G6SqeO)J5v0Ws^uQ6*QHZx0#tZ( zyfu<85eD6#M zDz6B~YinZx0ld;uX3_f9uEgy?8XHddjM~=uVoZA(V^K+>+v&=}BX^MDJne5Fkc?&V z-~cKY$dGaX0}B32u%_OCz9QN#&#_B3Akw^U1AK3S_pacYyKoT?UNJ@}R-w>hl|M4R zt3#>t3l^x}qQD$Ee0b2iq04(0;EaKLlEnLx*egfuHJTW?c^GXNExMVv9NQ zdhiZ;*!T(B82s46MC8YT!&VtJQD6B0YQ=m&Fea_#fk3KO)cqIAE+NX88-SK&M$8ZV z*TIZ!G$Wc@Y?#HmlTlOC+sg0>4p6V!X!sY$4Gwdf%HRxIiopwU0fVzoMKjdVN?TvK zhX|7y9LK2J^qaE!WkfQDd)sVIaND5gxvTeV5fNYMI9)7%u<-gskLcCDJ8Lh3nK~9b zIhUR0@%T*^i|u89!;oT+-}ZF1zJ~YfbW}FBYha$r=JXp5qNB0}9dIQ`j7Y~bELX3s zWJKHXenHFLXNbMW6Vgm#ZvzF|-ZM|7y-&mMH?{YpSN=EJ`>4|>y|VZ7`ug8s@6#Yt z%-&bbQ8d5Y-p#0z+}_8szRlh@evkK7Oe<#ZD^)fZ1h9APa81nKue1Cs?0u%#JBN_T z?45}MZSSJ#wDDi|4SHW1_rLheHS!(+SSuU7aXws&mZKJ}X=~O<=`HaB z72wtrQfnJMrtP;W`b}7mSd&#efSRRp!-}hF6w1sf1x2fV@Y50Q-P4@dkI!{IP>z zZMuv<9)e@mH0rycV2<4fID~VeFLqpH0hdGNo1DP+WD68~`xhZ0KY=v(eYe(bnruA3 z^o1Vj1_}hP6@NbSroFhw^Kbt-(LT^Ug8W@Vl6bTA#aVdTSALL#zwec5l2H651fC_p z{kMXBRnh%o6(vdEj44q6&CH%n5cgw}eRY=4EQ9&&vx*<1M7`m&7ZV050`K(%OL)U) zue{;&jX+f|-r@H~${>Ecy%O~LK;E|dB=9JH+!ymw(Jd6Z=_|m_){ohi_yr2Q?eQdV zPk-g&T876$`}cQ34>Un=pLydZCSYbA=mkRq2q+!B!wgu4K7ZvO=ae0I_SN(NVw=Begqv+=%gq73{7u> z(0fQB$#>ApR`mLu^sZH)dVG`)#J&#REEBt05U^chx}YY%qFH%hanI@~%G z0vP@!%3Q@lZNTLAn^@^|@g-(AF zeukFsWT7`hAu%v^#p!KPnV!QP^gb^W`E;}{6@^ZE!q3q3vW4Cs6p~yAy?jNl+ezVjBf)li+&LX4 zNL<>6vFE+ke4*nMq4zG%#{kDB2fcE|#F#M-ef~;;^8G6yXQ0rj&!ghx?E8nU@nM?K zYg9=39Q5|8%&?Q*>6$e~Z_^-p!q3q9oG$cS3dtC(ujBsGq%y0IbjbHKBB)SBpQ2Za zLZ^Jf&(QQ{2)#QMl2Qk~OhqsAChF9o%ve-VC$rZ-dQJ*1F?9rUslz4D_S@?EPy^>o{ZLMJ`p zXJ~q-3q7wwvY+(GsmK>rnW@J(=#5gjdLq47(X;xqRV-A*65>aef1V-qUY;jP%0AFe zuT){);-vRu1MKYR>s4@NbCY zKULx1@5H}W;V%aqEY#=3{}BrZ<7fO@ofpWJZ`I=Kqw4#vkIuco`o61t9anugw*^@6 zeOLc?@O{^wB1AV3^Jm;Ii~Pbk{1Ply2hRfxv;Mh(N9%w^eX^F|cr<5y_4QDI;1hPS zuf8fGQTN+($^CXh6S0Sg5k3~g@`>5+DM92Dpz>MR*m{iXfuZF|y)cP94}qE`PZL-j zLY{vDOv&Q`79|hCZStH8kYw^4s^lSba(RACfPng>={J$5yf}$G?_L&{rx&aaARFyU>>e@G+Dd_m0B+&(&q! zevDgR=w`LXe6kmJsz<}~E|Lv&dJs`bzTTT|cwnb@X9LV!zk!Pm^l+oC{u0kSS;8O> zUi67up3-@K$`NnC zXN#RfBqz%s)Z212!VM^JZ01`b;618>`4*kUaN9{UzA{V{6p3fo#D1AFTVX4HM z?gfpGGsy_zP3l=!X#HMPusY7-(2QsvSTpn90Vw)s_RhOI@~kR)v5<-7uT@2jumaZ~ zVBc$w%_o_eRvlSD4I;Az<1;oct%{Ms1{FLH)2w|N9Ri*qfQbAY&&W^PniO>TpUH#Y zP9UT)B+kTF9Vgm59v=)n!Tl1pa_DO=au|QgMf~Yp5^*QZ5@*47hd&)G+`TLT z%=J9&MT@4#{q@%@(JvrDxC$jUmpxXa9ULFCMt8(75kel@2rPJQl{SmatwQU|)8gj$ zh4P*|gQlGE06^gk>S=GsiB_F9&W}Rt8AZ0ajD|YNg6dUJZAqb~SWuY<2(EBaD7+3q z`L(?EFSNeT1_dt9mkFW8UbY3?q)>8!KA6263#wf~d6Gh%VnOMNTD}ts&Y_=x$HSkk z@+bD>ew%zKJNQD>{lkkPU(i1>7u`Axpm)}wQ9*<0Pn^Jn0~{mQO_HSl2uakvob^KK zPXZ$9{<*q&Q-QyR1coo@FpM@7&Ou?+eG@?fo%sx4D^_Csu>a=yHB_U2OOpOJd@|~u ztZJc)wyl>n{AvJ3-Q#Q!g=#*k%PXXO-$jIa3csKZJT}_A1Z-+f7)7a|fcm)4YT4B| zCNcrNVS5ThWmAZMe?0K{aR1w}{Kc*O*gF1V{o|!PdX^Q)yZvZ#EBQG0!r3%h5jL5Ulx-YOFr^^Z_ zX8Biipzkpv83@b*z(asv6TnPA5Z3||`7tv>vnOU1hu}Pz28$C#-p*j1;)xg{wnx@T zrD7JlUMm2WMq~(XWNc%`V+0TOg3s)E+kqtNUQ^7R?aV>JJeok;CvrZ~VM=l&4VUFG zDP*bU4x)Vew2z;1F7;un$=u>#<;3#9%mY@`LgT9@+s3P_Qj5(BkI!5>#%ErW899TD zgxoa%@r8WlMSPaf%i7?ghSFd)5jhq>@EVhJOwut)$0QvSbih@$=GQzJ55g`avPFl% z*dvBvPz;S-kp&Yr^LagPCgY}`M^*IwXUF57o1yLHv*Y(c!WYKxzsvjSxTig7U+vGl zZG}IIfw4ORFP`Jax1b7ahA76{m7pjXIa0*uA85%yQw)g<+TpQkkr+9PMG!D6GEsnh z<#-)1o~=Zt;5L%YyuhB7B`sm99A*BA)x|IwbX4cVr`LkJ8xNzB%88?zN~(iuR$`MV zz5!Oyo((!t_jfJ;7WjLmSvavUuz!T#6WE{cKe{+nJaK+Odp=-%=4|ZlfG>HX?!85v zLiXZm5T5cxPQ?&oRa?($w@I}^w6|Z#Gq=!m zRhM8!nyJO0@j}i#lp;|An9_Y z0n5H={{;=TgN7DM={Hk`4`;6i$2%z!1_E?% z^{@B;mS&Ll9oX;j&x0i!Zz-C*vv_!#^%VF$I;mjapO(k=2240*`+$*6{Bd^{V5sE1+*{@Gq)Uy3u)+{dE4{uV72BjYGkbW zU--?tlNszUGn!xH{d()Z@fqNxw2|XtIMXehJ;=AlHlU^b@=^sg@L`4zd{@`zL8DC> z&761VVFm?O*qH+>h9!(2X%?r?Oa^IVzijAMho;FHT+Ig zCHe1E#XEFe8ZT?9^wGx()N*pkxGFlDU+bT!IO1jMt_4& zhe*-ipwj_T>2%b1;qK3Y8rZv`EiRabmjH$)T`I|xTj8`hucKT3$oFes@NWf^KQ5U3 z$^9O=+dXhH>+dN1qmfn^toh>zG_w@5^uXW|!%I^OgG*8iFog(ShU~5beSyDw{D)(d zj?e>O7a%j+4<>}t@p2490Pi@-(H{n@$Nqx#uc;qz)e!OwE0S4=kyx_@2N{Tje9 z^FMzEnVu8D75RnJ(k~8N-(EsC+EvC-7$b>2jp%c8VhZopy;YtHu zX@HUQiNJL2MFyYo&RC9%E|(X|NE=~pgYZ9d*wp zccJwAkr8z-!A;bSwUe=xNPNL{o zE`xv2X;<+5sCyc6e5l|-j1!aXLc&g~#OCnO1YT#MNQs_j-M}423uZPl)L}jc43aFp4(}@WU`V7Pq9+a#ZlaR#v z6LU27miPT>J`8}qU_WNt=DbW)ZF~a^O_r)897@Ma&4Ag_@JK(}C+&^1 zea!05uRk11gGN{6L} z9X*>;q#uULKD;LDC^KbdZ)57fSxo(teZi-FW)Z}Hs`0NNu|uj%1c^AhW^h6j1)09! zIhZG-dmMO4-MXFt{Jc$zO*mKt?W5s6&qkX9)DP$8K&U6S)Nqwd8h&Iw~k zcKp%*7O$RJa=Cw`h?ctDx2WJuU&^-CGfTaO(fD3Yc=76M#*7}8aYtCZl)bxceaHH` zMq$Py-#sAXk%Gg#ExY_vG9C$Iik&hh=cxhok>RXDGygzua9h#LomZz74$n_5p7~_` zsKBL_)?EihnBfG#x=9rva1F6a$;jB;dkN3dOVfCN;0{tn0C&9#%_3sY+AWnap z&I%p zF>W>UC(>H`dbUNzaY-JVAFzM1kH=V)Se;8GLVv~_cg3+B6nk|Wm)^!2VcF2PL%u^r zzQ)}!$9cGfpu;7Jnd5P7j)yWHsTOOXYxyONkptNInPUbHOM+)GGgL0{nM0+(kOwtjjI9H}(Arom%(TC%}EG?~m1Zp3wKN==5Qo zT5x|wXpelqsngma(serjFFJiir)v_@hxPpdI$f{RsXG1ibVbifkJb67>h!#X^kEHu zsm|Y#P=B4ie^aF}CI4tE{;9k8y~_Q+_t&FY=Qzc`wR`g=9Vfpk^u^1=^gX8A@`st3 z{0-A7`g-}ps3L!uSIVD-cY?mhq)Gm;c#%IW_v8-&8TtE;Ms%;f|Ai*-XCUgs|3CFV z-)M%%=f*?2+@s--)9FN=PSWXjbb7o_PtfU&s819(>y&cypL`*LXdI1y$~soxAA%GU zrr1CA!g~&TOpnniaj@P|`kuV9{93JFOJ3&j&+;)#f5gMTBUNI!b;{KX|1AAsEh2wg zbj2Et{|cQN`Kmm6u}Te(zCT-~9fO`3uSiY>pPW19d}9E*N6gvXw#`?D^|;zi9e@jt+9gtx%gTdSk|y&QGIUq z)XJI~L#|J=UHc_l@RT)F`OB8puBfP4T3J?CQD3pF%3oDqR$WnBSyNRXC$(@{)iS^> z^-uM$^c$6qy9UQyebti6IOI}CSsdTWhNa7g$)+d$I~IE-5G?$i*wF>KE56zuHq@ zQM;tdD!%wq?B*XNQK7}f zoZ2gEmtU>97rDxoRrsqROxEPnJ(C(dkZe*z)}$pTc_uBH?D1sPSNY*OdALExb=E7< z=(G5L1OI#Q{{{XJ+#QYn9%%{GcJ-92Po3_mZ>;shD?E!Umew@ZSDmhUJdfwnrS<;C zikj~>R@Gl4h2U*5bU3Nfv+x=?h^NBusi>?3-wmEgb(Wny9*gI?hN{NOKt?(~h zUhAo=U+!PNXnBnXx^G~{q{^vQ|N3S17A~ckudTAfPpzx0@K;z=|KFch@-eXfJJ6G2 zY(!l0%!A{3Yms*yUI}{4A@RHo$eZs@F;24O)r?OuYLJ)g@14U{{uequ>C}=hH{pJ^zOUA4lTJ72bdyfob=s>_<7llX zole(ju};f%>euO7own)pL7jH%G@{cH$0)pGbb6*v%XQkU(+xV^qSKdjI%2G=;w@yFS>0!qz_-vi}bXuv?CY^53X}eC}(CL1i9yU(lIZ3C*I<3~}EjqRA@IUQG z>Fa;mkJ7{cw4ZO*e%2U;RW+Edo{PyCW=b`)supqFH-2h(F|(;p%r?$XIlsz(Zex8t zCO)P0%NJoLVfY5;6;&D++Hz-C*H=|kBGYJOZgE9}-^Nr$Szg&#Q{|KSa)DuN zWFCCFhz3f7P5idV+lESbG@(Us>e z$1KS(jvrQpDL3YYn2jWgbMdg^s)`kZvW?PV#mg%yi-MbVVC%8z~_05wW7G$6$@*sj9tt*(% zas4$|yR$@3-R9I@y|fm3x@7pQD*v2XwT7tlu3S`AN4r2uSjD(v_^g_$sybuw@Yz-M z%a+zw_^T{q7|Vvwu4#Y@F0H6(tTI*%9}_%W+q;XnE}lDL0IA+;fps zQCC+cYYiNMlbpr|@ULdahEZpfRV-RoSyO9VjjLr1OUi_-aidYTNL}qP$`&tMUWv8D zCx)PgGL{*~rIca5dZn>ErA%|$lTy}LD|x33t5~?a-fx^W49?BPigErhg=@~R#dY;d zYyFFjdBYahR{`guVT-RuGr(177$^FKzI_bqdrC$%@dZMC+Cp8-U^)*$shVdA$ zY8Nf5GhQCH!a`?6hH)KrPW{r#B~?_|Wt9srBVH~AvyG)!E-hPx=HRa?tE?hQ<21>` z5@}H*GV4^Us%kLKlKkqbiaL>1xiD~yOCb)$As-W-BKLaI4(?~leZ!*aDmE(%A=0JG zx#Tj=NLpUiP*&kDX08x3mMuo3!;05mT~>=l zFRI}CXygszV|^Ew&@i^Ee1Bz`rBB1?wCBM+SpQv}x6BV))-0_h(oa<0ikjt1WGPj) z2v?^V#+qTICD~YRlr35gGquI@;D1=ep#HBMd05DBwO$E*V~vfbX1owDuUdhAt&Fa+ zumT=s7(MZ_#qjlpYUJ&X=fS#Vq}_l{bdkRd>$pZJ1Tei{1=DC4Z#!WSIdCEZ8e?x9 zhKgHOSC(yjYRhY^f!tco5yNBpfD_eKETIMbSS&YGs7@XDj*i2`9K;4vwvep`>r99u zk&9!#0={;6ZM;rpRZYb;FurOg#`v$Os{qRuV>B=(etp=o+T~>kkT9uOvIH_^+v*B! z9ePpbK>PU8^0KR!!hhj@V(}SHShxto6xC(*4b@8*!S?(6bCGL)YBcU=$C^ zx3FQ8fUV4rL3Igei6cXa?vc)V*Qog97p$dqX!O5hZ zu1o@BNpek+G9?LOw8_erR~p|>mXFBE(z+V-orZCJl5$H6&GE9UE6|uQ50KFUqYfH` zA6{%2KaaskpTp?{+5=i6*XaKZ{}*~MxyV~Q?G!8OcNl2?cl}H3QO6szK(_|-l1k}h z7pgJl%BuR>sv2SKOqBoM_#c;v1IP0EGMh~FpZ}A8nVJ6&dtU-y)m81i&pG$roSS<| zZbE=Cw1k-!2w`d|rSyg*kU$_I2{d5sB_x5whL9#<>Zb*3)vC3I4z{%|r1RWjty(*x zcA$ewwUfT8RNGo-tiET}`uzTD@3r?icSr>3_rCXjulENzd;Ry?YtL)%v-WV}8KYm% z`0l4O`)hmtp{FwYXB7GY_}``kO5>RN<5$klBSO*f67~FVlh6+m^8cg+rbDV)Q{xpp z?(Zk*g}jtl6@MF+Qx*RK?)TqF;nSqzf2mmWYdwP9a2bD!zZ<*xl>C0yJ9<4dHIU+e z9IptR9_D`{m62)1KXj4i?>iWmKJN|6zpdKvKco2dI&5k%CEr=8`TLpw8Yh)g(Te}; z4Vpjx9VP#_sSBMPT=CzB*@DV%$sxu6ADAL!`YHa;Y%~1dSNwygf7W)*A7}mzgQn-_ z7ij+dFDm(W49cH#spen*isGMBTOr*RxUj&QMIzZp|rX>QC_il_0q(O(uT^4x;pW~1TZ8pqu#U~FCU#G zG|^VoiJ2}8OXBYtd^@_zHp3i+x&28K)5CVww{6)D2GIadCAO=X3^Ss~2Xu8wh)Rt% zZrFk{bvs<2#Q#KR>vm;W0YUpQZ1E_(@6z5aTei1%v@|z$w*bM02lOagkfz&=u6A{h z($w2QtJavhiCNfq0lU%8PGCLC0`xLLio%Px_@gF~XBcN;Z@aqGxm*BrAr@5^OrmY>K31~tSA0>jT-m8x)DTWmLZSc2= zr=_(ScCJL%7FeiYI9ftjYiAPnNnk2E&#VSPBc-sB(E7K+B`cS0W+1DjCk+V=sI(bI z0^7~*1Ax~pGWOAZtqHcLZD`%p-YMuS%Nn7IqF;%WM?=Qz;6I1LXmj49Qb0@V#wNT5 zYLLSG9~tIPi-q_*SY*y%KI(1X)=DpXWa8zQzFsLI#YR#NTDI_0W|TgRAODN?Q`azlHetKqy#yjHyp{o1b=NoL)^PDI)g+d ztm?GBqB0>jK$v|bW5A((sSziz&%@hcf>8;QXz=?H_yx5`u+h<}^5APEYcgP5O zU}z*mpzNA33T!#Iy;XclN;h7N-kAxNc7%>r+R7m_q0|YDqeh*;7K*(Q%KKcIG8#6& zjxzZVS)MA74b}C=)JA8a6Nvd*WZRr;Wkc19ibQRF#p?2!L|sL7O}yQJi_S+h!J6-`AeM}LNH zX+5c^d)B-;r=B)fSjENIki|Q7W;A#A&gB0vI2SjInP;JeE7lo3-OV#MY{kGzY%pWR zWn?FfP){Q41Qs&nej>EeSkT#u;h?LxJJBng6K{flz|F$y%qDDk!XIr6#15lg4ACJP zbifhxILIToop4A9)LUigxn6evlA7KWfipzRW&QoJUJ`5wrMJMk_z| zRQKah1NH=;$C8dFEJxWVu}>hn7ZUB0U5dn>;Cd4Df}%am&4DhmC&VHvw{G6h+KsJa zYS$jbOlL8LUN~BFq;)D<8MSA)w_pd9J)uYpaYOD&NMcT+tY&3HMPq|F6mX|e@*=gf zDz{)o#J(>04^D0EY=vCUh#RS$qmaFf#3HqG6|#?!!bt5rh4eF06sbK$AvZ8GHNq>5 zTnaY^Rr!=eR_rM2=@#})49tzxw{9v!25%1DA=5OUfN}u0FtC7t3IMk3SH$XUX^o5@;`vxR*RlZ_zf2>V_p z*MmGo*!MBn2J%#4?`N_rQilna{beS1MCzJB-Y-dK!fq>4*8=)0OkWIo1Ly~s?gQP^ zCG4*n7vz8hhLxj?ilTVyq%Hw>4~$ zQT~|y_WS*obZ^-#eSgBf4=P{NdpYn=+513>w+Vlk8E~hlQ+^gHg5ZW?bY$nOs+Egt zYN|!Bne>LUcx^+42yQBqUXra%sgt-6OB1yXbs{u_bgPQ}l({0*C&}71HC5%pzJ!#LQ&x#W>o7$jyDAj^6Zp@TA7g}4?)6mma?U@=STSvSzK zWh-qd2*d(Xy)qecZv<1G`w$6B<+fzVz(~}{uxL? zqH)EFM1AR+ibQE$Md_T`2xNaM_*J|}NkxTbch(lXM%tfdg&`t%o$lS*qtJ^PwIdBp zn-uh!AXTJ2ArPsE0c|^} zm#ejyg4>^G{-}{XTiBN}m=h_-e$^V5@dcIy_%aS%sDQ+Z zrk-v>K+SqdW9@83^I|%&6|DrmBA-blFkHDPFxyL5?>6yq)JOfaG0HE={!vHiM z0E`CTWdNEE0LFvwG0-Og=;T8T^h*F*`2_~{OMvw9_Zc~$5Tx}7j2u!368j<}hZTa< zzQo88g&?^vGqT&E#E^!5g^@n43{uOo@GYE#vF&e3Wju08M{hm09tr!~L8=YVXaEX% zLL#I74p>#+6VA?#&E|xQ_U~RfjiTgG}hFl8o zpMllZRAD|-huiVpyg7KGQ4^{q?svgQ_0KboIv6RaD=&2^g_vpiV>dGFO80j%7WzBd zwd*5vq1?zN?U;8_2NQNfgl1zS*QhP#!d@MrDGU_R%7#Qk)nYNYlU1&d&s3+4^339 zC|z2SD6gqcR92MY(13{B({sLy*S<(AOedS#n=!%6QS&o`nm2S{kS}J$CIs|MrUOKG zcOXm&BO%Gr)ZNyC4m*oM7a(3q)RrcDHlx{uHtk?^4x>?^*wbgvWjcpwL^+S?80hAn zt(%2?3M2VIXeM$h(*=@4*z=hl4!UDwlT7Amj1>agvaNHAuoo~g3J7cl!aiM=hCN{n zv9xZ+4jp?T^Gsw2vvFac!Qf;S5Z!fA&QDN|_JpZG5Ft#O!ag%+iX5gEieXf!#31`3 z`X}zvu7zrXPnws0lZfjr1 z$rb#@QL~ZF1IY6A?1w=Q+po|kTG4c|)5G4IqvrB~2sgxrE%iG$Z`jhIwi(*{*x&Vp zN^i*QXRm0a9(%WHHrB}fgH0V;ncu*1#v)~S9mEEq)^7Bv_GSdVk-hSDnfP;N-;^^2 zS=X5^FKsAIlvm-Et)ZrFt#Iv^ayC-Fd1s+{;d+^qgRV+j$?R8j&U73pg6vmw#z2ma zrbfOQmR9TXJ#4?0a{<=LP`-^ixnA_D^%vl);X^N#tQBov5@uzOLhr-bKDY zW73V%J`(%qOh%(qiF|{}SQN&7VZX^_L4>qT%|@lb-eR;cdLA+Tg2^$_lZkwr$)e~- zN#ZY=oETkCm1^4CnB9HnE1_HUS+9{ncy{+7w&=t3fY$7D(Lbc*QrOwNw} zjeL)AM02A<$@dRT&X3lS?>kH`j6y97`;Sc09i1Tm#G=Zh_Y(8JGr1)CP4fK@X0D8q zWz7CFlhx5{hzFNRS&x)gQH z6BpeLQc5d!gwOmdqUn#(;= zwV47Yxy=k?lH1I1Cb`XwV6s59j>23ulP*-PV>lno(w~o=ANNyctnB>-R0+ZZ2 zPGpi>$5`<*^MlN-FdqS7i8YztdwQLvXeib|ev=+tiW3&QH zdcan|<1r>0YB;V3hilmtxl0SsQtD|tA#LIo_7YBsh|o@RtwopSl9s1;lT`g$DchPo zq0l5HDGs|Tw;3^n+@B*YIX2R7nhbThY2iypA35o{<_w~_#37P zRG6BmbV2Snfu3N|;P(Rguf*g-WLL@s$(3aluqFnAPyZP{CvL?SMJ%ZWpQiUs%%$+c zs<{BV!zhs?!l_(QQ@$E6vcZECE?C=8Q=6!+SW{8$n*d>?z`Rj}e;J@u1*->T`c>cr zNFSQO8fjg_>e{N6OKM6*TlnhGABUstn>UE?H6hjOM5LojzwU_emqMq>G2I1P-r93+ z@0KnRzLvolzy_@FwTkd{3>8LNwl|9K^(?Fi7Rzn9udBQJxYkz?{Oo0%!LP@GV z)GETagp_WIqZo9?XN&Ny3@`ST2jJU6PoU=Q36+ty`rekR&aSP!B7A#ji7cgB3D#`w zmFOLeHcC_-!U*5V;Cc~Es*CVlp^c~^KPbXa$?6HYxzOHY*Wew0@=8de^Q z)?i6xMQy`c{HSRzXe6=Um~?vu>^k$me_!q-WV$5uzui0Tm*PT#Lzs;!3Ql zzP7ZXtWt!D!U}p#oye9fWDbgu3+bpTUD+U_M66!9d}Ym9E5#5Z$}7q+eXABZL{#A` zE0P0_Jmr`z@|9z*7%Exjivk7A7sDg~vBMPt*9dY+Lr@{PD(fohS64TPkwlQ`XcRf> zOP5rX*434+6{CqPQ|>Y3UR_^NS6;ECYGp;aIDr6VDmqa)&!Rk!B{$o^Cz2cQVp8@b zB35f^GEp=$qm?ajKoYByko6-qM6{Rc0x0tA@!)u55w$H1gJW;cF8Rl)`3B%)I zPfCpBX|AUvQno}qtsK?$u!bF!Zk)ibhv6QfIo4-XXiy26q<_dft&x*NvAc*;uF7OL zr+aN(#S*c5w`?jX(u%q@D4*TCRg4|!?L-wbP&eKcJ?euPu{pmws8~-j8Vj#Qk zOx#mZMC&lL=&BB3v`ECzSnWY8SBGIVgDoad`0UI60a3vOnlB87k^3F87*4ckZMLap zQ_p$Z9{_VOSZ55*Lm>1aL|Z*84eZp7B~t}euD zNlT$cOVg9P@M6hhlQrJT!bE*?S8atxCRpvz%9FcRHYfyhCu^cbb1V(RkTHc*5zAU%G3^X7=5uey{Xl_KHnO! zq}lX3gqQ@K-AiYdF)+-Ff{0ZdL3}e>chJ&09mN;mBYUn@H-k=H$>OxWtDsdgXq&vS zzNg5>8S*HNb;u%%ueElDH=0^6SY!aU_Y{d@NQQYqoi-BI=PkYQxselrZ6ji)WKz3& ztPYv6_3V9DUK|WUoQMD0Pr&97YR)ab3|O7B;_#b&KkzwE;?EtR=DY&OI|Tn44*X8m z<%5{$xB^ar(djqZc9Y;MIph(6HrSzImb89^3VIgNP2N~lTPdv9tRE5423BGH*vi2G zhKbP4C@8`);DQdh6ksMcEv4+}vhJ5T+Rr&Tc~~6W0w<<~_!i#(=5}=ToR5}?4yd+c zSq~8W8+gydE4x0PD6Fr#sAy-;p$q1=ca}>7{;I7wV<)VyU5b7_9({S%ZpfWWy(S?~ zpIMJk2cfUA&E41z%NXn))}sX7Ku{;T3?^yTW7Pa!0dh(fn)BNf7!w7IpwJS&vUZ_U3;S@ceV>&sq30OCYf@=#plyja z#;&cxTBfoD%RO2**=*-Q)j?xbzXv4j6!6}4LeVekND+mdLXF}GCx()Gg%)WPyfpe) zYm|(s8mHov9%m_!nCBq}COIxOD!=L4udS&k(M;=-&_Bv6puKvi>&TJUT1NwP*eTIo zS{I;DP-M^ode4*fp;Gkhu;VgkDbA*LY}p{KnN1z&F0`g3qB8rIRhnqW(YXYl9<^rB zZ5)L9_BC2_DOCiT=|Pi5RA8y}=&);cJE?w8DxX@-?xgY~IP-qC>?<0_lmAIHqey3x zJKM6a{HWv!xfkNOn9_}*KCyw0ZzV7?lflx97zeuKu1#yU&XC&bXU$S6FB^0ps&$Iw z3Zoa5BI`0uDf{)TQ z6vNs~tY;cJ^BzvC^gw(%X>Z=GYqe7*!Qe7OgrhZI&;Km1TYhqw(pYU7f) z4KVgYzAx$2d!LUfoxhUl^edSBn^aN{rzEu<3w^fu>HCsY?6L0I+I3V3-T8DJ>A`#p z5vT4m8*p2h!`sT7)NN%>#%*L=8+gdg3v!=D%GNP#VRJHV9doRdtz$tqB=<@Pdj#gk zBBVBl*c&9FH;35G|As6%W)ny?@7mZl1woK_|Mm~Zzx^Ym7@bRqF~oZ|oac$k^0sI= zuAD79W?P1nye-3ZUqFDcmBnjDNp?GDYCc)OUH9MdH`|J!fkZwDXXF(6LoN|zdnAQ3 zt15UUo9djL|3mN(k+V!*RjJun*R)+OKseL#X_^G<-H?jTmUQpw?ClOQepPe=UN|$@ zC+7K-VGLc2<x6@rWEg;}MbCctq|b;}HRDJW@_&JR-L?9+6v{izX4_8IOqa8IOo$;}JQH z@kkNcc;tC`hN35Awbf(w&%>USShDem!b;5V1XB%a@|^8;?TDcoZ1+99nnG@}Fw4S}js7dKh|+hows}<9-p1 zH&5PtVzp93w(3N_cK}9iUAJ~yv{OwV1-4lCK7r75TxRH4bO>wrWng&^(9n63q%Jla zgTv61CHWzcZL}$b66T*c39C{{*9VTZX5S0uej~k-r2m7&N$Hg&eO_2guO#W`f-LA# zIax*_ZvX&=MVT6gc^^zp!aAL(u+zvh5`|+@w@~5h86WOD?_-TV3DPl2>m#(vQ_lN^ zb>iKK1fKDDDIAz*nzKOGSoYFKaEJ5BAZr{gmLkl26B`{FLMq0yN3HRoV@Gm{!WmqPSF&@TRq%W7fxml?P^} zH?l{iPSSvLxLafj!p@&~hA=#t)0@lP%8c)3Wc1DHZezyxm{DAVH&9!gh$=k(`5Vs; z2c2!`L#=zb#phA{DZASLJI*%D6k@PRL(r{93kX@Sp)m|NC&)76oZV%IkbM_QhsQZ` zs&S57G|tH<#yNV@I47SN=jch}96i-IM=mwaNz9CMMDaK$S>!lJE;G&%Va7Qk%s58` zjdPNN#yRD{IHw#K=OhcpIR#*xlK_o#3ZZe1Txy&nml@}XkmDRVeB&IEdYmJ7(l|!| zk8{eY#yN89agN+(oFl>;=ZNx+b42nuM@}=&DMF8Po|iYKJt3D8|Qqa8RrP|k8@$QF5(Pdf%codd8hxj zE-NP6b85$Z1w%o^(?{mbFiMS|l&V!qI#EQ-VMi;6y>|aBPCoGB_iuId(Hcg*0YRs- zVia_)&ldN-FUmLV6S+t+$tM&OJxMXiClnJsNioq=DJF6$#UwGKn22JR?Hgi%aH zkYbV?q?nWgib*-3m?R4nlLDZaBtVKuA*7hdr4$pnjA9}}DkgIH6cdqJF_Ak-F%iIu zNja5bBDYpd($+{RV?T%09epWe&>`Tk3_g;BXDiL&Sr5nl47v+y zD#jX4+z8qhvW|G85=HbUdzq&+c#h8A*L@i)u*F>*Svigjs|qicnY zstt76bmlx8?VeRFIhCp<7pYqLgsP<{sapAjs--8XT6!u~OD?5qC1zADQLJhui&VAb zGOCscqiTsTs+I^+wUUEWt#UxsDhE`pWPz$x09366NYyHYR4uubswJ0EwM0l&OAeo^ zB~q(eawn-;0$9~5r&6`#)~c4=M%5DGsam3Zs+LGrwd6FaRuNj&dS0GdeL_}S>KstZ z^X7nrNL4ExtZK=fLDkC8zB!;`V^vFpQMHm&t6C!bs+MSqCVU z6{%}p$ua&iAtTw>W#5?0=qXTDd6Xa+Zq#C*E{HKJ;APPv4m`z=A=(xVFasRF=HHC# z9R}5>`u?R$o{1xBAresK|Aq6?fO{t*g>BxP;3o5Z@Mz8T@7LVa>9YTh6$+Xtd@wil zDNHI5tuV=*q%aBiU~Y;+SgaK$m55(q675r%KGG;m!u$%;^)`Blsdg^ib`zGjS=%4} zA$kf{U&8VlWc!@oNYV{s0|gEiCTgKraMu8l7~R(^vM|h=&~8#d5!Qsv?K$>di)5y% zfM=ob?nbKS*U?o0&1&1wu(!x8ql4Ir8$Imcy>wlq=OI2@2y&8FZ>MdyIgRlwy4b>Dv zTVwS`PBkAS7tIId6Z1iO(tJ=pF(0HS%?IhJ=7Z!?^FfK3`5;j|ACxR|K1ePzA0)!e z2Z=EAK_X~AC^=|8s2rFNDhK9+k_GcY1zxy(y=f50YEY2gz;bgG6}qL85%~K_YoRNKP{!RD_-ndS3qdpyu(gCuLP8 zzj_mwoDWI|&j-n!VLnK(|J7Tu@qCa7GarWwhJddpgb)sTSG zM_<1UrR{eHlDyy9542*Ju5c;5a~ZYf@BllYtRY(<9Qvk+XJ6SASvt4e3T5S7r4xg|92=qcQXdWkd?GB;-KuwO3g};oF6QVP$_67NbV#hNB}EA<@{h_1SR5E zf>bO%CFmoK5+uy81hFter$?M&o6tnFsZq*zQ$eqW*qy^$AA>QaXbO4ZLU~~IIm8|% zJ`cGAGK)P#k_SS#>=DyzAfKVhqL_izmgAp+K|#`f-=msM`+@&d>~Yee6ReA;*7)at}%kEKQe*azLYwHe>O zNOZ_cGNv4ah@hKD&`opLWo>*81J~R60)~D1{eZDe?tFYYL^va@tBEUhvtI}X^Op9F z8;PX~oAbf)uWtXt&2dM!{m*p+YR6w-0~ub;{5$O40Wy2AW%_{Jz$d4f!5NgHKz-G^ zibR75e(ouR4XCYup-VnTatGSl7fGzBSb;kZ5{pagtI9C9TvAhq8+~!^O_YSLi9U_V zPn7Ni&{rOWz7%~E^6*v|Ev0V>$@5O~ijvT^?Bn`;^f#D7*G1`OQM@ij>Dr$yo$?%N z==$g@5-p5k2OtiTg!VF9QPhOo$I!%R1AWgm)X(%(5gIV*p&O#K7jKAbEf|W4TTW9; zi!_s+t`Z?~=x$Rw1F%p50TIwQnX2}TgKWrL0-rb9oY;n=A!ocz;-Xf?782L9b2H91 zq{>>#vT`=cL$Fq*qTNAdgF} zTn?GJEn5=)YzgZb%eox8KE_*>o}nfZlO?2_v@suC1m~gby2*Mdtmo)!n|UZ1b-2Tj zC@5W?&r6nVvlDbvi}f9fBoB`7(*B(Mye-1|&wb6?K+YA>!G%3>3n#fg_MN3}4lPKRGwi)h8oRyGZYzx4{LAEdJ%JB)G;1}cS@fepjOmLP8eIU7e1htapP zth@gVp_hUju|Zh(Qg?Co^zRypgB|!-5gOQil)qoWUyQN_zBCsXM_PwCdaWPF;|TsT zDC*JMam0jPQiRoJoe62!QpR?TF~3JS6+IV+bRpIwZX8`Zp%2d!rz5`~f`Ahj!f|a3 zj^%LtjT{L$Uc=$Y6F0*#KVRTvE*xWr(H|Foip29uU@bpS+z#~PU_9XfiKf?yuY;1m zaf97Li<2EQ4};CJ24z#1)mkN*HTi7FECq`{A?>!vg{A2DClJlJKA2D33_4`#tNCXf ziX$6{ABzIVJc$T+qs~>3kv8i5%VW$@+pI%wDVj}|wE}u5GSA>R~p?BRKVhF?{-oZZ+SM~PO8#d2@)pTyMv0X1qlP(Jxn%& zoFi~Msq$S9Qr=Fg$TpDjc2Y%lW%KQ%irkUSx04b{x07b`?WBsn7__>bRMCB))$OE; zzMA}TCDhks+;qhr{N?SW%KvuI>UL5^?*}b!CspKwAm#0(iaY>P-A=0LgG3|WkFfMZ zL?h#mGW{yi$oXSTA0`@?tvt^3+eFLTNtL`Kpw;c9ihkG1`64FKbUSHw`t78+>XW>% zt}QPvHJ6b78%ysGXQ$pys>I$N&dzWH1%`c~1D<0~=W)t~2LM9t=_KV$S7 z1f8a@{#17wW4>mt{yZHVd6}>N{0od)QVaO5{#3nDWYc8UyZTe1cDC>8PbwN*uam7W zK|ve+Y*2y0YL=9m{a1gE zRH!5Jsmh3FXg(TVmZHg5(zzm^%Izdg;|8D%SAXt=hyr=_=cmvqv-LekPX&pjCg5HD z=}Tpaz}24=yG$M3gGpC^DgcTI0Lu1R2B3QYproE-0Llgcw8`@fK)V2tSAQxQP$vN7 z)t?H08UY}${!~Dp1mxA93h0-By!ulC`z4^R{#3{Tg&?gz&?!*}68oY~ib9auml!#s z5G40yMs_=t7Q6#Ol;V%7zE`z`ks@Y3 zW3XiP$};)l8MWUG&c|?{y_mkxVdf8Saf`zw#GD4ht#phAveie1<;gMoZAQ_V^;Z&% zc>9+@ia-DRXg5uWMrI{PwWISioh1qb_T{;^fgWDlwzG%6B5hJ~MJ~1S;d~pQe3iAY zlo+z3PKVXwRv5bVov*{3YVXPY9_Fsu@~Z~+Ww{hFHM|w=J-Fxci@6jrO`B407`#e~ zwI@WgDH`u=oP9NWU;?9V^MtPFb944J>{sCPqxV_)vfd-?FR?$SIyx+UhNn|r8V~<# z*?$br)DW#d_;tBTK@=J9q|n#1_xS9xltXm(UKTtN)`^VeWbe!UEs81RQo)Zj%ZXWC z_NVa5V@Qv9lV@K?hMO`GPG}dnPTJRL?X;`qU6-eiA4A<=|uZ1 z=|0j}(g{npq_19yQ@Q2RQifO2k;Qn+9?f_BmUgzN*CK0_8qCFLn6dC#XQ?8r(F(Li z%Zt@&HY$ZhZ&Z+M=zmoHs}Eo5_!0`PEZWaXjVft8_hJ&T(NT^y$4}pfmxg{ zmDX75JY#UYPVI(E{|JxuA&cG%_4}^#y*MZ#evj9D`aaJI^loQ;h+geZ9|{vd1?)x} zV28y;H8QorI-BNbSCL=xCCb)^v*Gba@+fcb!8f&W)l)aK2AU> zA|&a)kS4mShAwRz>$@9d1M%kIQaxYR$WG#YLC7kR*@|&?^lSXb5atGCtB5QsNDoY1 zvq@IiSF?hVo$$VFW6iq>B|dKiSo3Mf`ZC1I@nozh zYTdjw(WOjf(Jvzx`|Q=@q;g>{Ewo$7r5Sv3?A%kr!m3MtI;tQra_%JQrl3YnUfYSmE5FUc}i4F$~2 zf&oHVH4-vS^9fK^4FxP9Kv^{uu#f;{)lk490+dxl0dWG9RYL)bvplPYinlzAtr{x5 zl^`+Ay*sGLT9B9r+{0ueNKA0=WpX`8Y1L57Z6KvpLy=usY}HWYjx4rn5J^^zEVgPW z`eM+^s-fsU(8{Wz=&Q+JS~V2iPyW)Xq3GK|E31a0_k)&J4Mjc(Qd%_>c>tucYAE_3 z(a85BGH1?&LqsFvk23u#(a8B@Odlp%S~XPow~3Zk4MiUTt*jb~e%FdjhAJbgMpn92 zqfvR`U{tDALxt`SXQf&-l*jGitPEBS<+tDOXRH!G;S4;ed`<5)Rt**SK#I2sf0)DL z^(3kc8P0|vI$2a2GMr7L$Sn;SPTMokbjFY|nAIX`rbvNlUqqm&w+a`S;Q_E>1im0i z88eZ6lGH{GQbEX6&yuAOJmHIuOj{^V^H_DC6P z-m0xlEh^ek*4u~&X-*1fkCrhGxYn5Ov%~z2lSZFl`HM`m$kKKgk_}}mh8R1{bKoAD z*$(p=yi`iP<+H;m{UNfF?Jx?pvwU`#dR1Ck+VX&2_1T~TgIOtd7!^Fku(89a^2f-K zYKKw$Q6n2Y^wOXLbFz}{FiJ*DqJBG!;w~^E(5d&Zh~Zi%UlvrM3l(7OFbW-$m2QWj z62q92g|~Y>&BQz3mBDL(lcmE%2c7Z7;2jEeL;+P9@eH%kbh8vqzLL%r1ypX|&~!o! z$zX?}ZLq_o9cDPxNS0@ZArh~{S)Lunmr7}eq1a{W$S6T}7zIFe0zlb5%K)?`0F=~o z3_v{sKwh3_0QwMsw8JPFP=ElW9Yz6Ad;p{!Mge^ikaida^h-e6VHB`m0?H1fkOK-q zT7RHZq7WqZMV%CdAhj>TA;yMv5W6FR;)|WQhNhEN=tI&upmqB}8PU8fsMI!@MfVP@~X5RKv^x@p|a8GTj^66 z*z&AZF+51?c|KX}6A(kp+c&Ofx*tLcjX5OLg>Pt(eZ-hU$f?XB zjX8t}GuBTg%2db!S@diUAs=H7QG_;!cwT;Ui01LICyhMM970^u93mZT4k332a|pry z-PMYX%^^e>bBN^B<`5$M<`ANN<`5rg%prvN%^{;)YwR;^qb?w#OB#eVp4u&0BGNwMYn@~1_s(fA#KDhyd+=I)1>TfTz&PG~ZkhU` zuXPSh7rqX!6Zvbt*7}>ls~m zZ11TZyRo^q0wS<4q?r_3ULn}qI$LPC7FLUV)7w)i3i=?MB4zDcM5&})nGX$1JA_W( zEUScbq~EC5LGCcT*pJmLb4cRl6k89z;zT;anoH$s?}?v?n;wuX6zn{C_c!t>PFz}_ zFwJ(<${9y`al9{Y zI?dB4&DiyRfEm+y{GU{|rZf9NX6HK>*CLn4Z`q(P`)=%-RWi6;gY6lo^K&_jDz=4i z(x`;2SS2YO&!9%cS1|Li#f8V6&W`pC^l99dt($Q(iL}JwYtSu&rZ~>&x$(s~5+cMt zO7Z`IYld*Cp?cx6Hw?ju9P;il`~`9mlJ8u0+Y4};cdn4R({K(#4E6@5?n{&dfHQ+` z2?)uTq`+0bBRAi17+V7v`9U z!I;h8(x$+H3oU{Me7A|rgD>4c7R`pkLd-LTGHx3bqnx1O#j z7lGRfCedrfj+{39SG4-vRo4W8pXC&%=_9#Ru+qIOh6!fWFktq$)XV(r= z{(;*Ieh8khOBI%#iBSX|kTn!atw9ldkghbvF(KW9<#*aK%8jBucSDkKQD}|o0-S@- zl;cJ?Rq!H5-JLh~qwqn^<=_qqgfF^Kk};8Mkw=W$FOo>X!|uiSn^%B8xPi|^j4i9? zBk|DN>n*J=-ea|4jZ|NzAByO)A94f5umD)WerEU{KZjy7=2nb3AK8dVKMW2GPiDCQ z7hR&ob!M{>fhv#k1q5KzJ9Qui%UNr$L3j#TKe^_w!UI@ zc@1Ws)iq^WKbO-r;91{7k};t;%vr!7(2N!2!NVe)%i&(vS)YPT+v3glC+ldb%}1Bt zwXejH0hBjQ+>UjW*4sp3Y`Y9H-oNFOqu(Z~ZKN@@XES6w&A&o<oafB)#an)K1%r zluUCavD*9PY~BryEVmASk8%tT%%J?L`GOqO1E0T94bEcFCE55G44se=!P$%^eVQ~lhf)4CX>cyn{AtqQJf_X3NrR^_!k;D$p2{?T znlw0{Y2T+wgQqcOK1~{2z=-}dY4CJe8vaj{1{X4q|I?(wGZ-|VCJipi`69|O>C>da zGjpDhWw}teRH(!ttHM$At(p#tKw8ZN<2h7ydT)rY&fr5Mc9u*2x=!hRTyL-`2wt0W zFBG8pG->cUPHu)zlLoJ6ztm5Y2KVNu3!n%1G-+@j`=@`JG}zBx1ALk^cmu~7i(ZdB z1aD+AUzdYFH^G~7XqKTf3Oh~G=SYJuGQkbQZMWl+eLfa}J`Q zT#_&s|7Gpunz+)xJ$NLTn@e}@@)st9v0U9-Ry2KNOE>oZoZH&1zj7SR%cUM@Pp~5^ z+B?&H^V!$o@3+c@*x=AyUFvF+QtdVYZW%G?_Rf;{^7cu4E*8p2(iXDkMG& zO$LS5%_j6%W++ji(;14GVRi)HjF~f=1FCOI1;=qV=W<2J$VG5G!}A$dhW#E9oWLFn z@jYIA0o{c_F9q?rKTOX<3LSKqkTLXgAIQ4DjuET1sPH#TnL`TJM_-UdRMFzjb{)L{u ze^+GiTJ|5~wHtr%>$rs%8SkXf*R%I{HF)f0VFT`?4DQRNttDA5l`t+=NB3=S!SaKr z=B(lJa&_xmu;uN-Kw=H=L80aQzqMERI0m7ipC!+cpM{|OE_@G`7wxQ3Z_+XnWG}(j zJ!>npMZ%h9QB7C}jNEA?x1f6)EU44I0;du}fT35AbLQV66CW8sVEy*+ zI=@X^j5n&k0v}$2KL_ab>U1~?SK!ajiE4yH45REE;SguM6enq7BtF4a3d`B>i{ZHn z#K_CxuvQiB#iJK=;T>??3CE}h$fHh-PRUip4%z{hkU5L9)JOUG9fbQOq>pJ`iSvz# z2N0oEH&m8r6XjfGcLQbj1ex7t%5D&!G&@0Nx#jN&aXQEoDc9vJ^$3;5rm;T;R8)jo z@G^TISXE|WWw#PbTYGCOeAW6fiy}S1V&3EDZ3uKWUc*k1>DomsKh;q_!92By#`?I5 za(7acHa-mGi?XU`<(5u5MF}f9OjKoCySp(ss-eL8jBHloRz>wWBFCeVX#Y#T0^nBot3vxc720bcCr~K`SnPgEa$i0Kt7IQeN_Kvc zN%o1<+Sn2JQxpd4v?MyWY##ir zc|B+8^ZZg))?27ET|zs# zguaTZeGyT*(xz277tY@Ta+TSs@G$5J;}I@wku!TX{)mW6A(Eo$PPMA#%h-0O)W=$g znvK?>V#}x4_K@_b`LaZq5(#_F{-4F+_~7?HqXeuxYmz%O;<%&TJX{hY55Y}@y(to* zeZJ0cI2S}tk5pmLc3`3)6kj963zWixgokp0lOszpppgu-rbI0u;h-Ro?4cG(Bte8m zhM3T_OF9ZN2YwJn5B?il!pO7!0`M5UVMM@nFjF$O$M@Dh64U6N{}gqq69O6h)CNH+(r$L6oG) z*v&yzBdcIaG2$b_W1duUS`?4GiP}NW`V95#Atf6(*>QG~3ElPV<1WqWBxndTi&Y_15dM@VyStfFxk3?*z zkPH$@GAK2t`T)Ec%@0axr$x|)^O1uE{y2&MbYdXE3w=Hac!nt&U#jt)Q?CU4Svk{J z29Oo^%AG`)dTBm0N{wRiJaqgrKT0K6J`QMw7gX^=UuNijsbL+j-@PyVzV7Ww=FW|- zt-4>x-}AHl-5s+S)!oCY17_>a9#Ne=n%onIc-@J5Mox0i$kja~W*WS&PN)*|xcI4! zAbfu2_BIq;+7jJ~tLZ^%k`s}o6X6b2?9kj&qj0fjA5~IPnf_VJ{U=4~FCcG_ z(dFu@<`+)RS+>quWT2cyeK|wh7~&qj0pCY_v1-PEyr>z&I4=$!kTZ?PnYEO^{Jrk03qDH^imp-;VN(aP|7IuG0ZoW~ zGvKJI#UV0`nE|72)Z{YP-y~v2u&mrX6GoExx=|0+jT#eUZ{9o1Kkpsxr3rPS=DjpA zHuK(*$=zs_?&G7A8_gI~Qz;|)38;JD7>&>K`E}D+uP&%%6#2;G82MyZF-0WHKFiA| zwSPCc>}A){Z!D(lQ9-KgqyDlV;%@_ZU-616cSO?sKc-4E&x;uy#-vKtlzM+LZzKh} z;)dyp8}6^T5&nuROsv5{L zj`w&6(UpE>sG|MX^*iP?{<_FYE>5adpOW(wqQA-_x{#x~kX4s6Z)mCNP3{N?pRB2J{F(+Y`?~h(_1MDSkW_xFI$JUlsn2AyXY7YSk>biKy-`?KY*<0L(*u^%isBi31 zZnfW2xxoSt<)%wWdwW_G(bL<4B?jeg-O=2|a==e)3de_hTD!673KSMMaMeUpxAJJF zkBBJec5-sWXvDUN#bwPnFF00>^YxECwESH6wsYM!=d6RyH?3vP`F;EL?YqIrFLv&` zptyC@@*5s$dG(9y`klyLr|oX%aqAs-++$VC-Kozy-@L%x`^>G*eO6z;^X>(1c=eywI{)}s-@3;iafY}LEwB3Ynyp*cYd*CW( z$wM-_#><@Sz3zB-rnBJx+%lECTa=QBD<2I}B zN@u)V?8F)$zU4#Cu!o#`cU|aw4~y*94=Wc zlRoC~+uJ)@nw#XA3=02q8UKw(9slw56nxMdmvi7ai0Ub(8~A!nasy9o$_Ji`BdIXq zzlnQwM=X1+7Jt?`n@~q18=X6LxqCmdr+=LjX>`s%=$3q9+83Q|57}8L+S?Jv7MI}f z(G~OYWs`d4=B6E-PhW3L&K&j4-A~7nC}b#RE#F#YlhXPONL!qXzwcjKh16!a2u6QX zmny)QFiJ|lPRdYg*`h0!EwY11z%jQk-&ZlIeZ3$vbItpjnSnL2?_-yU+^0zeg6ztuj*`?`x(6e^d_X z-rUsNmRXbQk4iZ?miwqv*!W|6!7%3>d$Q$3-Qpci*G4C5PqD7v;q2(!Ayay}OzG#} z*OU%i{JzgdrL?c);urt5$?|>BW$A`vKLO1b>d&8@*IKA%6&X+Cso$79P(SmhzogZI-hUe_FUUpu;U~i{094*o9b7wij z++|Mmp=#%JccZht(OI>()Cm_m+b=xT$yw;^SXl0ia`W%n<2<-);~72fhZkLX#*&rG zot=$N@jK4Ay-sW+#$pV=&SSe8osn+Q8EErP7{h0?n_uGOL-ZTYns?4{PFUtncFxBr zu-Kk%*-=#Ad|B={BTGkDl}Ae)vVd=-KCt+PltaLoGf0V`pdYj7^)JoeN)Ed(M)zXH+je)7ieviMhpl z>@g^>uq?Z)-rurIx|b`t=unDgCXh5C9;CSRIcf*R<%BPEw)Z;W#!Xw_JpGI|CuYyH zoa|m_d-2YF&d$9bz2}}y&$wqeAL+dZlQef(%{3q1;+C#+iuO7!n95PJ4EMF2^ND?J zYpOS#GtcSWdq%wM%zL-~-5!p@s6{ax(*(_TOc&Xt&ZSS>I$>*>R0?Bc0bhAk1*|q5 z9n~9(NI!O~3OaM?`Wi2s-YW(rS)a0V4&$Q?&F+~_Y?;&T9(>lGP_VUa?Pbo6Wte1b zJ!`8wWAkz+|Dm&;?uXXihc>qT<$fn`uhaRE6Mtt1TAb7V#&g@&{p3ui2koozS!e4z z7p*{`;v}hJfb;sR&-puN@?cF^qJ|Sm76|&6FJgV}c*S92vsLyUu;ma-e;^Lzg zbW~aZ?^f!x6k<54UhgYtDqFqj(;05nZl4Qfx7D5NF0R>rmRr4|>OU8(o99ftP`*uk zPu%T9i=Eqcz2T1gr1Pw}@GSSD>b7;x`O6kK`FovxyGZN3E{dINcU`#elg=;1%g*I^ z3Hgg~i)K0(ODyj~bdW~phFu%o{uQSmSpfD6*PT(d*12ofLH8CXeD^6%nS1xWB){as zD!0Gd-MzpLquK9~Rj}o#O72*iXxiqvlom<_r1yk5h1T-3mww3E`f_!ZoXsFLNB1iL z+duHLa2_)j&2sbTxEFrdL#uxLMvVQc@>iY{lTYm*WB*FyA5ZdM&;HAmSW%u+BK>K$ zOuzkkarrzS@96YfoD-|cDJ;z?D$SW%np0AmGkCm*6uj$$W{3mkwF82Qhr>`_8R+>|o=Qw!*dudKw3|2lx2%}%KVBeEJfBBIA zA$|>;W&BnCDSq;g@qD_S{Z;(sIdPSQLF4a7c>Pv{Et~SE;~y;DuOgg&g`r&z^wZ&} z+{k}Frz_QeAi0#E5}0!I`@x+g6hGuA&ygjmXp&EST?gY5AbIrD^)`_F>EP)@I6bd_ zPllp!U2F5Ac3#NI3kLE6!8`}nNc;wpBlAaccp8^lZv%y+{Ke2l^+#vcQzZB$x0hO> z`OIfz6dTgH+ggzqaPpi$WnR!0lpm6(zw^?LG|{g-XMOXr8a#s{m< z_2{a41jEGu^5@q> zQFUQ3F4y-RAT;+e@vTl7PD9GP$wJjNAdvyilKKxXo|Sf>QzkY^R-1Q&M~A!^se4;% zi)h(ir|$3=s1#Gvol4U!ck=GgF0VOst!&`KWbOL&SXs)+&y>$0&`sQQU(IoLfe(VC z1Ze1^A3g-?YPX*A15<;GVv&C}K>D426i&Mv6@+EC?FZQDJ=U|cg`X5qyYJ#j39u3` zgmRIQg??lpr=KNi$20o|ege9bo!5DgV)y=PLHii8L>;~S((fZCRGk*2lLRisIPzTugGY|4aDA%tzdYzvP1#}+AvD?Iz zmWgk%*Q*}OTkQO`2kB(|+9ap;ckKL!2jTr*{;-u&{*a&pqkZW(A5wu!! z>o#MF)gHfcwQ{JrCR9UusD{)~C7cX8mrg(G7}{O!VQF2wmi-z$9#^|NJS;6-7yF&( z@wnRE?O`$P-sxe5+I>=%N^3@)r6ahS140>S=c5Ly*Uq0Cs7^cSl1lnnqW(R0o~+(e z0o7?|je+V{v2&w=>a_C<2CCn~&aWA$PCIpe5TlCP`+EbY;43CkwK|=@@t`>@v%<)( z-NMdKdeF1%{HX{1J39khqG+Ev>>TSsUUHP{L5}$mF9kX=y2K!8CCk=NK$kM4pMaiZ zNGA@^ZyC~w0~F@O=)?h<$dFffX+3!lr|&V7&IVn0fAyftIl8O)X^941F8A$t_)GmwyGxk~SX#f);c#SK{?HVsuu})iFzls=T9qDZ70WS? z^iYlIq4dPTgsNqi7DqYLZaw5siD>s4<_A`&-TDnRW6#zRT+acKU+w(52eHdM(nGCE z52e#NNT|m2P-#Wb!{I;1Pju){vva=(u`BJNn-3M7cIPn{6Ty?R@b1PqJhCEjjzBi*n?OxA9P{xO}ytJp*c_>ir)ZsF;L+#bV zawu8aJ%Q7Q=yvO*n&@=Ek28YkwDWTYYS2#I%)zCdS~>fmSuKv&BGbwR4MsR%vICf!1p0#|*Se zJ3nEdwc7c41Er0ZYq`L;dj(%KhV#FUpQwx??bd~gN{s7L)#8ayR)X(Os(jSXwH(!R z`~>s@JAdLqGdc1VrZVbu+?N<=)fd>=Z=gDzsvmfeuGk@_9O`r}&ha2!WoLVkt{AG(e7-v}5>>Bd>gJt6HD!>kWom&4r8BGr9-W|OPKgdZNGM<1 zn0{?aFx2^UmGShyoc*Nv22bA!i)r__nGsk?x)g;>Vh_~78SLEYLD#YKdmi-f?9^-G z8LEysM3D)#O3Uu`AT9e@4@#Gv)~}dZoNPihYS|Zfke2>hUNa2cZ0p-wWPYPG)pwg)}M&Yyb_rN{sKE2npkVQHvl zrx$(N#7Rrwz)8BtH)*H)$mCBit=nQ-OovT<>h~L{5be~#GECicwf=;~Kyq8E#5oL{ z!cVjkJ;i^}Kx?%GFS4}miq0s10bk{6w;n1`xkp&2_c((Ctl_66&fdvR9S)GkpX}15 zM{P;Fk7nwxdHvxYVbMtDq2yRV>H6(wp9~Fd6MKElu&iO1c^qKZ6a1v;wfju39SX8*?%^&>$Ou0L{rgDPj=edna)F|Za9lQ(Klk6FZDT+0Tgelm+t-f9*gGI zk~4&gGj};Zsbgw4of4s6rUb>Mj}XiGnL)JnYW7(4E3KBCAygA{Uu;6vvdcXB*mVUz zDb3nV7vR$`Q=0Ws=naYmy`=VScIsdmCJQ>$ttQkeJ#+CwrKt%W$`{wSSb&Z*LtHUV zeSwz?SG%h{EUkG=Wk3DQAbK*h%-|>5>jHLa$r-d=EweQ6lZslq-|(=s1nF>hG7l>H z0d{`fgSbGb1lFP2OsLvh+4&<6Qj)#DI+PdJs`Hs!>#_{x zks*}k_EMPE7>=;uKk<`FQM>Q()Z8I2b?njswM7oasGUVdr!~xFrxuk#rwwNoy*Le} zzCA5enzquRPBw9^?oJD}IyKcg)Myi`b`?8!deBAeywZbG1!+2yvec?AQ$N??e40=@ zb+8PICq2}v^iXM4Tg1U8@{_7oyJvVKtBQ<`#J>)MeXFT^B{brcMbUr`d@9_7r+_(6t z_i#+m7NnF2kCk7W`JQCU-@ozmXZ(DgpD*$=B|34u;V)vj7xVMW{QMd}KgZ8|_?a62 zZmt(?c)XfvZCX5TelGXR`Khg#{Y$`VERlvk3MA+Cjs#&gLdu)%s;7pNlqCnfHP1TOpE23KJc(hRD=AaDp; zKQA!|h3anY6;llYhj;-0*WAbY=xix662-jB3;JU?ZBkB8n^Tt<UXK@sQQ31p1uhD78Ypwl)cw0))1Sskn-9%}p<4&<`FcG1^k3%|Q|t#rOh8lX6O_ znM$>ILr)L0sPtHKHJS9(mLhu9b*u|A>f#lY5d5<7-?4^G67rG{37J3I>JgPZwEn_@ zn4`GcOEg8|SW8V=6aA=tIM(`f2H<)*fKuvMW7Bwa zk2@XyrpIk)-PGP$+zd$mbo(hmZYau(ZNsaIf7*WHkRjW1dbVYJ)PAVdsP>c2q|+RO zmrC=-rgK|m(4;@lz+a^qJtbX?=-i~t%T&fxFb{S_SgtU_oas2ms<%^Ji zM3xWr%Fa#mh(#3PzYeAP3TYdSD5G{I5Kqow$k~sEp?Y`crc>c7q_$C^i260fg+{1( z<`XD&l*dDMuk~mcn&&jhL!3JHSuz`OKO(bA=`lRAIBNZfEV4#FA~Uw$AaDo=0{TaJ zvCopl(Pnr$H_ein@AD%vt6hFXX6$MoGFzr_haZu-*zH4R$*lJKkl8X9xBC&9i~W8? z=Hfv=BGY`aACYN3;74Sd5Bd=q`yn4PN2d8zKO)n7*pJ8*zU@b33Xk{^nZkE{$n5zb zsE|#4&5>z#4MN`Z&T(YCR~K_+E(#3~dV$PEkspzgY1XJ)!W@~e5+5>GhN3>GdFINT z&i5m#jrkFo(@H-gb6V?1WKPKpZY0Zmt@k4`7i|WioQ?wfp=n-D=gM4kCGkuKPag(m z)h{R%eMcmYB~cGqLPNbcM;SC-FiA2Ltoy%sTey?YJh96|0p z$s2*}NA5gi8<5?|orio1NDQUzA@qK6APMOQQi3#iJofi0su z2xRv{Kk_<|IF`*lp5Kw2xJIDsWSw4Y@=m4tH}D)@qIn3Rok4w-eq9cMk?&F{jE`RV{0PW_jT%8*vh^M?aRHHi=Rt!|TyIgRO*#}I ze*{w0=0~Wp?>0#1rc-1uIB4Vmk$vZoK}b#%q2ld+IdrP~@JIZ}Bp`>*^&_)^9O%#p zB+GXAYLetd;Mu)d^H5yNfQT+Xau&%sPa}{c+u>m&2Z(GNZySW?>s9$zTgHjWq` zgp&3Cu0bf&c@+CL9g2_(fb35~J_ls|c0bS6gzWSqw*Wbmgh-pz|MBxY4xW;a`jO{= z^zHT|uK?NqaX<0~kOLR`kv{+t7ik3fl5N99563@2v!+vITP4yUvPF>z%>&7@PNy2l zKxAtvF$hUsgiv(Jnb%X6136+4gpw^`ep0AL@bq7)LxD%Ogm@B9EAd?AK*U~+AceAa)+dF!6+HchheF9C zIWSFmrSUk$o`gIHWPiV(=M^ADH~5h^fb=CHe*hwG^z-~Dkgg;of>*J_Nk}1(+ME2L zCILB+gvr`MA@}R-=AM zSLM7mDIOxUg<yxz}76IbmgvrZt+ zT<{#?o2>i9_QQjJ>HQ1Qq~?qD z;Su<#x`^rENl$94KQ(osZQ)5Qg4$}^WZhObBeJQ<44|m3o(wsvt^NWC0N<||#X7OH zv@{M33I%O7*`HV?&$@N%$_L}Y#g`Kst4nDjDa%k=$SJh5WnNulj`x7yhOEZU9sQ3>A*J$dxXNEcRrl&yhT&f0BP%|6B(?w%D6% z%l(`)5y@`uDH-Pgyeg!4{%>zr0~=RXohQVF6s2IgrW@Em4RuQ>Ch|<2!~tuYY@AKJ zI9U^W*@ap>k7u6kY35HeW5V6@0NRN5~-m+wJ_)!vxgZjlwYi+-H8bFlI`9b~SbvUE@#TlH0 zz&mvO^lqi-i(-}QkUIH&8h^FlDf*=^$nW2VL$%*YM;_#Ny3a%VeLEB&s5;2+!;mfJ zck9zHLQnfNm&uRq^`85#0q+OEw9S5!MkzfEowHU489-nA)1}CRt2LDOul4d#=VkAt z`Dne|4A!N*{CVf)rz8+0*c=y9F6PzATNK(c^S+98dfC_(r%CTAmsw889&H_ zuSF1P4;J}J^Nl>1aUR?WDFjsqd5~guDG$B?J?%m7f%*o^`r^Fu4*8;r1YhsQ7p*A2 zlrJ`+3oJEjdIJJm&zk-mHGuP~4)VoA5HB@rddAuCO+es{vl0GXh_!vZXa0M9!!WS6 zPwiPjfXAXuWh$}aIbwj0i}1**bHJnQ869_H)eh89TQw^0f1*5=aaR2=|CIYo5&mUo zReEYnvpZ)$kX4^_R(%O#2&xW>@D5m2NBA(#){gKeT!h=7K5-z5@PBsR>BmXQMG<}; zXO@a^+RrpODlCapRUIvYME>P!N%;eTVgqBH*=z=qOi zl%uBaU_&$4+Co-A*Fc>mhSB*z$C5f*w?JhHoUI?AOu85sl_#Hm%lQVEMMCoHKcom-=H+@ zKaF{r@Z~|H)n4c2SXi*9zL=_f2E8?%?c5C$DzCog|VM?$T8!${{Ebeg|xbVv~UIV8iVfsPH5ycWJX z$Rux6!ESYIme5>OXZlU{uToKW+)eyA_GQz+kq5=kYmp*!miRQj$%EqO*+CmWw_r*h z&s2iqhhC7WSLw<>H63 zAFmGtDt^cpcZwex&FL;2J(^P#@xx{tdVGhDANB$qr~I4na>w~Q$Im9{Ory@!AhHpD zhDou(BmpGn!9~uj9z97$2jzncOX!>+E~}$T(OrZcU$N0^<51U`xaimGZ&sT29~p;a zA6pBpWoL1&70c*8V|h>$%EqXuR|M${{pgmg%ZW#{Q%K%_$&A(p(w`a zei5Ccrhq{f=Lf~u9>D7u`=A7YI>p%MP!xuPeQ+y94KB)2l!KOvF}kly$C&I}HpXV4 zqhri__mEfZ3*^D)7mu-ER6PW{;&j|-r6tq~vOhnpm(PM7f1tz9(>LqogQx-7vX&0% zk(b|(W@<0LokZdwFMn*(digZY%S=IDeh*x%y^PdU!}8>1dgsDYbCR!NGP=~9WDR0g zdwKCW$yM+z=4I=_Z#oakS2&R&z6p}e#)FI5@H%vyovDyA)ik8a@=8EESQHJBc8CuFXoGQf5h8wH$| zT$BNtVY#JZ<9jYPh@?dRa)>JD{p)Ugan;7gGLY6d$QNHk!*xIHsG*_PgV%oF z`r@b1kuyE=#g}1Z-A{XK;!qZS#@P_JK~~w&_MPSMfX;sI#as;UBe0mZPixJ421xk? zbZ)o^C&_0a+57@=q7(^Ye+S8VCiw;=u@`-vA3`$iB+@(dlWof8(Q!B4x#7GMmG?i9 zcfRkua}(d>K|ST)AGh9l2efwyCGyS+R8xD09vdK`sHaT9JG!S_0|sgHgL=wgTqXbs zvcx-~Ef4Z?GXexngU+AeUkIuW>M5IHxy5=4snb|`13DP|fpbuTKu&YECyWP{FME)R zvBO5xcU(lNt0$H5QaZ>NauvHrxg6m?{8i)knoO8SKJN?#}TfX&WVs91fhXi|%U~d-e(+yd^L$IF`>}kRNkYGRE5c>ha z-VGx&J9pA^m6&A1DvWbRVq*SmL+nMt-X_==1p7}!+s`$`PCtlc?ONY1*slxrCeilu z4Y6+)>~q5JhXng!(e?`sv3CphS;2llu{lCNpAzhyf}Q4WEHXE|Mnv7UhS=K$`$=K>A;JDG(e@h+vG)u11;Ktwu%8v| zw;E#a6YN(6`$@rmTCm41=y}lH%`R38cG{t2UheD`?06Zk)iIfNMMLa^g8jN+KO@+m z6YR|mv9A&A#{~PJU~d=fs~TcY3-*TEvx0qIu%BUgw*M9UrFWKO z+wDs87uc@O7VEECcSo2NE8Qce+rFaO%yw0~@vx1JTD$ty{<^Cb^iAv)D0a)WzDLA- zlZg2fsH!Pt*dMdea#!p(1beq&r+dU$4L2MR?86PQ&kA;WdKu&DJSN!FuW~x3?c)ux zpBL;`1p7(BJ|)cBYIp#w7o^d{Mm-sZwdB3(e{<1?R1Y6&-3RRV!t5R zuZy;y5p6#y+J3$v_OpV0R}v%3g@)K?1pAy|KP1@i7wi`sVxJf6HwF8QV1Gui zUv7x~iePUMQFlqOzj{S=)Lm_e{hDBJ7wp#s`!2zLts(a7g1u9)-xTcQg8fEA?573$ z8R7S=V84y4IlRB$YKZ-|U>_81ZxPw%8PWFG*BaTkIVafZ>9l-acSN+Eeks7)zM>)a zOM<;gw0%yne^0PCH^hEQu%8lbPYd=1;rCSyv0oJIw*~vGV1F@cyIIHmEiAU!I?k?P zqgL28tk+UAGjFx6K&(|CFZUXj@KyZWVGSGA*

o>TKHn)jPD^u3>+H?dt5b{qzoN z*b3^b+m0?=b=YgQEA8xS8C`eghrNz}0U+A%^z_$!+FrjW?O$ZuiI48hDB<2zeMMdd zkf@JcO>8`7TWTfihtMu%A1mpk7YH)RhOTHQr#gCVsf{xNIV+Ic1v1?YX1EqbJ|~bX z0-0W8!0S9-2lA{yJ|K|01@gfp;FDkY@$*0fF3C2l7>cJSUJ33FP5AkQW5jL?T zKt3sur|UqzA&?gY@+pBlQwQ=*fqY#cpApCx>Oj6FkZ%g)8G(GQ4&>Vc`L;lw70691 zm+sv$+|LFm@9wOoXr8HezdPpy@~S$Jn*{PofjlpeyX!z+A&^@H@+E;hTnF+>fxKEE zUlGWM>OgK5$ZZ07K_DNm1Gz;Yw+rO!0{Ltm$g2c$r$D|bkT2DNyjmc43*_4Zxe1d} z6RV4kR;>cLM<6#JEAk&iyS>NegDUh>uAg>X~g95olAfK)Sxm_R+3FOrR z`9dAY9RfKmklO_E%{q`f1#(s(w+rMJ+*!R;Y;+0aia_oZ$Q^YccMIeL0=Zit@2CTL zvp_y1kb4Ajx(?(XfqX9u&xD1aej&->L)oF@ZcIkShYYCEEX4G>Z-iFQD&k5|tsJ5N@(V*QosmTt^CYXm_`J?%%|scV1HL+dlU+Cn98JOs%wknzX-IwJ## zy-uWc9*4y4K55AbNbD?EOTGXJ9rR60&O_2+_77j7o-UXPlIop7s5W$h(NY-VQracGfz*kXVap zNfr{jbE_pEg+yOHu{ES$)X{-WS5xQDQz`5NfX+x>Fgg?o8s{n`hZy^hNypg-pJTMK zXY;%+^(IJe@>W37ZTzmSnhjF1%_yy{N;nzl(D8Hp>_p>yh$M)pNOA%aJHl$6XCb+5 zVoXaeLo(0U|ATO1n_n5>(2u*Qm62pMBwdh1^;rwaW6Xo^fuzVJLy%lVsmO+-kl+WY z9?ldbv#dT(LelB10p-{%BoRA3(=r?EkM%R0dFTwWQa^*l?iJ{^--8S>&#dt_NbK$k zS$-m)Eu{9WV9~o1I&@9SYXu~i7|!F6TxB{>LDIuw;{+sjyfEH@gpLUL1xOCCQgm+u z@5{^KbME*1AX&j;bqypfP$MsDt}T$X;#=gwhasWgIYp8rBzEUbm-+}K_ISmz4frVc zd`}4++L7&atq1}l!OcYVi8)PjBM)#I7l`z)IEdOoj^sv~VJ)7&y^2?DOhgsCU z9um95pj$!nP(-*F&)XsCWXJ&|M_iprzYIwelRQB7i=U&AT!it+e)KmMdm57CDnC;VbstQMUYU;VfNm5~X9$_)!{-Q@ z`Rf8C&5Zrqkl5>tn*E26*sHEu@)Jm=z538I19lFc#YsA^bzXf>EOwAdS|G945Vg)b zAfdaIBdb0LNgJ!rZb)XB#r8nbhi1amhU>GC*r!rx(%BUzxtF>&j>yh$ha}A+;ddahGiqI*0Frae@>7t^vuODvNVvZ~14%PF zeN@BGLo&s1W+7S0tg!%z{!NXFmQ*r}yc*l=+8zX>xk4KKogx1Sr4F!GyyEr9&#dil zhU6II+6qZKYsGsZ8DuzVNXSu9&)o~jb*A$XNbLThw%DIRa*XLb3rQQ}dI6Hd%o;C2 zvW9tQ0TRG??EeSJI4kwbzlM1WLw+kHmzmDHAvx)Ef`G;<^b6MuKoJ{-PTJW=XQcg< zw7h6}5;{GQ=$sJXWsqsg&rs45!Z}7<4u>SqL2`sy;~yc(GReO}5>s_1zteFYl0K&s zlrv-bWHzRMb7Xaz@FbEekxouW%URa0*Fh(mc@pxkL$cCYgCuJqnPwK-0m%&0NkNij z?fMWTmmE9cd;*d-rt>*S$Uss2ya)*&JufRAf0X$?BnV!w)Q=(QX7yQy6w$)C-Ui7j zrqd0{0jBc-NN&422g#8_sRH{j%a22ct}>7xv@bpc$!dmk0+M+qc^;A>hfJ;h9whcx zS{mmDB>MMy)`Pbp8Du!Ec(%k@=8FU*hZyp9NN%y*n}p;jtMh(H4mzA5DCB7gbVJ34 zZP#Jw>_APU==~%lJ*+-2LekD8*CAPfQV_yE)KfB;RdzTDKE!TAr-S9F*Srx@oIyjV zRJl^hr*g#|s)p7YzXhEZ=D`FcbVTv1T}nMU=8@b8U?uK+?=C|G$tdIAp5p%GK}{V_yTwDJHoe zk~PppLDN%`kkF$bp=KlpAi0HaS`w&rIP$ZrGfu^hL8qM|{|zLondIw`oM#b!6_ReI zvm6f$zQEdkFC??fg9%6uF+2A|!e@*nNa#5OWG!v6ryz;3c>W9|XBhi4khCz#OH>L+ z)W5Dm(!|(bg-6vq1|0|u*S(OeVcvNQB!@A|M0S2RB*$4xcR{k+d2k5d)~3Uei7~us zIGG;{%l2z=VuXITyDykCtgc0YG`Q%ubP8N%l zVJh?rW6V?sNO=OH@nktjr78u$S-wIx9Zsc+6`V6f)rB6-~xF3UYKl0)f7dB|)%urpvp?&kjefz|_ylUdzhYk#HPjsK- zew4;H`R>@n)I8(Gee1sDYod;t3%@ zwYUaq!v&}j7x=YW=Qj(lRrYG-Hw&*$c4z!Vh1Wznd19RhOlhhVVqn303^0a<`EsU` z*-sxcxrwY2V${r*!m+d&B-8uy5R`-J?|Kx@?F+K0LVnVWzCk)nVVfZ+7O?d~V>Xt$ zT%gBzy{N$HT(UBb$N1w@Im8$}Hc8`hDJ)`n6+o`XX|+{JJ9~xFKAbOxnRQ(og3?63 zlF5a(Ii$K5qdV1W?S_O3bUVZkY}=vH+(7L{e^L)ZH}n$%Dii{tL*$xL>l1Osj#2(}i`=&8zX*r1eFl5KW|U}Wlc?>1^|Y6APO`+`)VuqQ(k zBzlsRkmGc&m<@AbzQW=9wSCKEEr+F=VgRwFBKgH0^g13vRa#g7?uB z5aHOH!))$>WElny9?ay^{o4jYHI1nLz75kI$hL=-{%wAt-tw+Oo&qR2k_~(FTPI2- zSW{_KLp-o=7fn`eBk}yUsFuF4B-)qB6@wtNCxc08+U`K3#O_CS4fgKb7W5Bnd!*;# zVE4AZhqnfOy#s^T{Gpnf%Ji2Csjyt`MaaO+BQPcY-4mAbVRl_2NFt(jwH(W2wlEfq z!rkR@6rs@3vtfb_f}X*LcRQ9q6$+B6TsoVN1-mQRE$Q@w6M1FBL9z)ILEoDwhb7k; zw^8Ta3ZrG<<;dU~%k^DdA0&tFLN7EyZ(sc#&MYN%mhDCH+*>(=6h5g7!Vl1vo!4qbpQoJ`lUB^v9j zsCT`P8{vt*WVy1;W*W6!}B)|)>bl2%NOhv|uI$dY;)27QnmScW}hK!VewsQ&^Q0xU8o!eDQvGEU)dGMO{6>Bu-^E3#OWKqV+o29l%g)Dhyb~>Kry42xMZPp!M@GWDj@)A!^?i9ETiIW z0z}a9@!r3+%mXh z+wR>SDD$(~=~hD&BDhdyp{oq8x49zg52z3y#4KV<8BrV3CzTJX-QwaxnVu#*AzF*^ z2n&{UkRf|yXru~8FjPh1j4bxP3^pE;*+=qwp{>-+P!Y|-bTF8p$7rrr$w-T1c^dd5 zG=$4wCbeg40TUmKgb~A=e%0W+(-^dNZz-mIf(jG^5b%oGWEt3T9L zna2d{NH~_kz}FWhC*>$Jm@T6e<{A@r7!0s+r1~<@DLLnoQ?Z~Y%wi)-j8S$n1kX~P zf^2bX4o1P|m+p?X(zdVj;T}rPYKspbI;ewDj8Ax$Eatjn^j~sp48^0FpPuxw*`n!q zDRZq!dqD-PC0?vmwz@Pe!DInr1DRU)<5YD&HsKB33If+c%OsU8k7q`49_Fsy=^|s4 zE4d1sr*_J~%Y3X8YUHEE!cZ9$u@a16>@355sftPX#R;k;GBSp!oUN}KjQPCgPE#P+ zJfcCO9MR5K7Bn{^7%%fkQ{zO99&T=jvDI=s;UpyjZ^o?{09_afMlqSQ1^CeP*Hh9k2MaMk9;XgwzNb_(gI9JkaljEr-h^{W^AEq z4H?Zpxu*va2*@avkeaW9T@|!*$r|%%b8A5?SbXy1#j{yiI|D|ibidcbxa}dP8Py@` zY=vby+MLG%9<5;`wLgo~-4(I#=2wBgDvG9eZeodf23-^~R&#XJiC|yei+n9_521F$ zoIjOSDTuN64@a1MKbcBp;di9AWVs;usT*N+g?*VS^u0+G!j3)7-Y~J8Qf*ZuhT^${ zXV{Q=rx_<4lgzUDVk}rRTB?R7)8p*ekRKQ??8WflH5`Gch7H^B>OiGolMNxxC$4+> z`$yhLA#7DF6^h9*>cn1&XsT8$n!JDz9ZgPTF~pi(88(3}PZaq4Ro#1Pvx%Pn_^X91 z<_KXa7|kZ}4jUI|t`$0G;$AIpsW_rnl5(lYov-pI%^VONv;@}uRo|;y9VgK|84b%6 zvoyO@`ZN^r<%trz`niHGfMJcrmPP;*!@E|Oc5yUi)&I%ZK?+OygsqvZ0-&N*9%gx4 zlf?>loy>-lEjQ`%lAE0`wgg8JF?u*!mtIs&Yfqhw%~kJ<#^Y*U;ZNe#L1lZ!cyAs_ z3gd{4M~X#SE@9u1t(F$u5>U0o+1fiBDYnsOd_SZh36^Zb&nz=fE>U3^443MNL zw(D4K1$K*-TJDYV+D;^NGf~g#Y{N#&7ay4^m!JS!fT-G1dY5w~@{(G&VQW;~suXyk T<+iBon$K=fEgF~RUeo^vRa;H_ diff --git a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsimkernel.log b/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsimkernel.log deleted file mode 100644 index 01e41d3..0000000 --- a/Project.sim/sim_1/behav/xsim.dir/mips_test_sqr_behav/xsimkernel.log +++ /dev/null @@ -1,7 +0,0 @@ -Running: xsim.dir/mips_test_sqr_behav/xsimk.exe -simmode gui -wdb mips_test_sqr_behav.wdb -simrunnum 0 -socket 50537 -Design successfully loaded -Design Loading Memory Usage: 17516 KB (Peak: 17516 KB) -Design Loading CPU Usage: 124 ms -Simulation completed -Simulation Memory Usage: 17964 KB (Peak: 17964 KB) -Simulation CPU Usage: 140 ms diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/@a@l@u.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/@a@l@u.sdb deleted file mode 100644 index 54444cc35cd0e6038b73dd82300da11903ca2879..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2924 zcmZ`*-EJF26h33GapTs(NuenPCF`I3*iO=<6sUrbW>vLnQkq@>SKL&!K&{0E*Ie1D zRlB85gv0}|y9K$akSc)?;-bm}An^uV^|BJY!E%0fm+5L^DakkAIp;fP&di*6_N#^b z34k8}Kl;Tt3wh_|U+bSKc;gi4f1m&PhrS5UO3w7)_7gtx=&R-^zwoc4B1@t?Uyk`_#%lwz5B2*&nTJ5L)+UWkV}Fv9gC&_KB5!nq_Zd zeNGfN9QSKH}&$BagTA8R&nW|NJ-11DxT@ zN@g_=`YimG1N{L8oF%3LTEomge%j?HkobL6jESArRIaj;h4bn0VHOz7f zXTY89U$BOq9giGxMkG0$42KhcbRm2=_|~`C%VHn)`hy4Kq0OTtDQ@4mbuDXd&_3s- zJphi8nk_TOTDGzlJnkck$^HHvXj&}dLTw6OB0MK}neYrK?ZtNmT*!VLRn+xZ5TdGq zYX)92aNEG=4ZLCCO-B`YNu@zl(<^bAV6avFamrGK?CWr`47t>*-bhUPO@$YoiWSx6 z<4r4u+p41+UQ%n4EefvbCaN)3Im2h!z#=97Yst2#vk=Md1#fbQVCjTl2^YLB%~wi) zifQFRgHo>k95)77WeaI~qCi7L5E{5mLQf^ME+)NTL*#PX7|U@pjPvEHHPGMZP>B-I zyOsouk_19~iG!R&;z8x9x*B^8CS_zs$>{fsT!bvO^T#pLOB&>=*$Hm) z`3i3Fb!Kd^bv`Fysf~=JjSRWaI`4yqO}r0=aosE+1hr65+CpB&Eo3Y$WXRfhgW9WW z>uD3VP=n?KW=dc9Yw`4>uB2q-DjMhHFAtAR42$@Gh{s_PAWshi-jx7;7?OZtF`14@ zfV>rT_(GtAMTJ2OzP+FHP(|BIS(pHm5 zi`yEPEO?z;wDxL?XvsdzM~l1}#=1g{q0NY?!IOhAw%=8SYOUT_1o|Fb^bg#!zwhSs IEPl`a56VDa^#A|> diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/adder.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/adder.sdb deleted file mode 100644 index 5447df55ee5a83bd66085bac35ccc5dd9473e05f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2092 zcmbuB&1w@-6vxla^ut7_w5edNl1x6@PAe#apj3ntq>HN5g?8o6qM-N$u97A+qcrWt z2XO9GNmGO_#D$B}2M~M%S1tv8gYlemCvh6CxbQ;T|M}hXaqqb&CHWUc=M=zOpoQOG z7M+nFUoWpKIC}>0*U^{HcnuD7BNMk*)}F6DtKD6_gKH~KM|IF_)-PfP;5h5Wd%CVS zTWwI1gnl0n`6Dx@8HFaIJQN{mN;X)rLEi?KY;e&AFWF$#0&_ate6ms7pt~nmV!7#l~{f%kiI!#hAu~)S0THr~ zDcPj+hvtXrdGd93T2stVr@UvGZ+EXU&!_kW;-iIE_4X|9Ob_o&{dH&Awo~A2ds^2U zKnHb_Y;^hKIoeTmP*_WPKz6dm@Lu8om>sBbMg6Jt&DfJb&XVL1DH+sg%CD5tW%T>; zDeC`cIX{)F`p*jX8}Pa5Y`m1hhjdCaDr9;1h;9yQ1eXZ&(*r)}E839L8_dlzYS1h( zlV*uF4Hrqlv{a^427HsDOk9BHg%43lF`gAuR21z?QG>atQG=plCPhV?q9Q4nmQq!~ zkNuiFbHtpv`2|DO7&?@erza^a5(7oHDQ*CFQ&dvTM6eT;@DqQ)o8(rMWXy3jG3Bv@ z%M*%EB|2I?e1%NtwnknPmPz#l`78xe-9WBcRe@U@iLgF?+L#Fz_X;D diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/addsub.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/addsub.sdb deleted file mode 100644 index ae1a3f25feb8566b05c065c5de512ea23033307b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1663 zcmZ{lOKTHR6vxlaq)n5m#89w4NG6ZAX$4&<2wj~JLNn2(3m+RdA%ilR`UzZxMrd86 z*|-o_lcZ`N2t`GFK*LE=59q;P zPg6$t_m6A$1GNy|6A8%7X!W})BQud9mr3hjRtNJsxT1s0I(StFa~c@yb+;bb1)C1uPCVOZT<(P)iTA^hithwDgUZzSh!SUwbw!?Q7}2mL6*9ftDUk(haKbkRE(MowV6e zZRVA2$8%h}R$ni9U39Fn-KY^E+;Pj+TFrj%jecvD!e;3k&EBxq8|B(7&$e8*R=3wY z$F`g=-rJWK0J+ua69*ut>txBy?`aBAuO0*bIeGUJk^nK;vOGg10q5aU2=Er=%rl7t zB1G%7THF}Z1k>37y-0Is+;YKoi;d5d!AlgJrazZsec(_ckaw9vQ_1JeZJMKrod0iR zRTrV{!)&76@3(h*?L_+q4MF&BREt51fYE9-L14iz3YefCfl)%d0@Z*Dgmb6E>_`nw zA#gm(26QGYB67$cFiZz@KA=^Knv)Cgg@9fR=p}!10`HABOh*zXKBO&z2;&N2z9_)E zBSitcGaATKsR(;%XkOrUOd*zum?DzSWOKYzeziop9rJ8=*9>8T HUOoQ+3m9hP diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/bitmapmem.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/bitmapmem.sdb deleted file mode 100644 index 7160cb5d96e38f0eba23fc4a2f1870358d027e25..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1516 zcmai!&ubGw6vyA}CbS7v(;yZ^>}K0Ttxzw52QP1_X|vI!f_ktQmn5h`_De10~?knlGlD<>Yw@TXXC}&gBj*{*u>7J7AD(U_(U83{t(!yoB zNo!uKU>8bOp^}~VbFSxm71t>hvVMS`opZ|$5yGuX&R%rfkAC>;Rh$_pnPwAWxYh8i&J4j z*A#>G+VSayiP7}Zjb5#A8BXw5eU-A$iha=N4DFsPnhV~|;7ph^K+OT$Fo9`C27b|Z Rd?aWYCxRD-hH3iF{{wD3Ml1jT diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/clockdivider_@nexys4.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/clockdivider_@nexys4.sdb deleted file mode 100644 index a6339fb5c1ba4db0fb268ddb861b39c1ce3dee65..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3528 zcmaJ^T~8ZV5S_KnXD}FW0zt-JY$tV~g%HvfrE+xNI`w-OQk+x zaKMdXkeVO`5+&W;v~q|lp_EF6N>P45rT&9H^|4j^54Q8MYu5H{`~WlO%*>g4@7!zX z`yv%?2lx)~qyGFl6^{J<`}n5@#JfQK`|7tNbrJSEBi*x?3(JMuGYj)qRN?Zi{*3kP zMLC(b%WI{*(%PM3Wuur-A%NE%ru)oZu~$nQU~ozN`MM!n5f)x^EXhbWWN?`g9hlL9 zIUP8v1JCKeF&&uIfStCr`uWY7o46C+(x}l+ON%;Mw5mn-wCHm!`a+97)1p6U(eJgW z?P%AgMI9|#)uMY^^tl#&(THBd{dMu9mT;2xtZskOqD9+^T8>@Wx>t&td8=Hss})2L z?skgh^@^Q}`oAk{D|@9&7`<7(S1nfhqjuSGDqHq?)UwOgbo6X*C(t}98h-#3o1jxg zOgG~ggR%Rd{(1G|ACLrNK)vsUw``W~Y!pE$_~t7t6bsjwR@=4~3v)z$+d#GSy`P%xf=!A z)b&6wG3s-(w0gIp&lqka^%=Q3cl9bLa{Ebw>o44%1%4||B4i{%4Z@dU;0->7NQbjo z%scjqW0Bh068`uo!t4ODUGs(6nQQZky1_#LCeoI zVE3nkm+E6&50^C}xOkTXXapcUHF<$q_8Qr?WV~<4-w%#($0A0E(`hWgh;vhHdmRft z9Kgp6HG}#zG!PP-#s$Do9f;F#fI5Xf=dkOJs$7kt!w_^L5HUUhek(K>ek*Ld=eb3} zr4_*^BSwTN8Xqu(V%r@##zXct3ke|;z&1`FZ9K?fux!cjioQdwwq=lwtXQX zWSE5TgxCq3iufWVNi`vwcVCEYH^V|~`$9s7h>DZvJl(8 zkPtHBLU=;#1cbyFAxWC_uBXwWM)Bn3d%-ZUiw7ZqIt&vI8nLtn%V@ByV8#h`303f~ zEPnvmJTW*K>&vGH6TOK94r(i}keS#cwX?hZ>-Mg)@z&DKoALAICE=)UFUD$&i62+; zW1Pkq*|xem)y5c_L*QCbYQ1MDKQ%C&$m1&`HFqq1l$v9o=s2>uu zgP$$iGK{x@w}mgW+)Fki4;~%fM`6Qw2h=B+&zG9~adn6rD4^VKbsc#&)h(hxE!F_K z(oDJIynT{EL0D=+;#C-f_}&ATl6Ruar6}yY@@(}X1`g6LD1Zp4Grmhv>a_2v&oGs* zD95@Orm{YX!ZZN;@+U=#8UdUvD$s>7r3*uh_-+ik>o|dV8@LJzwI|S|v!H zFR{lb_$6V-h@iBqk{CGT2~iT;?u4kaqgwqXcpTSYTWVm(d~W>O$zs_IUrNS#H5Y{E z2TOQ1+di01oF1C);|0^#A){S8FMmE#Pj-G$H0Jaj!f-A-TUfe2gY{g)n39707)e1k zn+h`8T#(_qf)}Nr$s57P#b#4MMw<&VTvza2DaenDbjW5?K}MSkGF(^iJ=~=hb0nR~ W!e975TAQ_HMy*XVr26oGxc>m|@c8xs diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/comparator.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/comparator.sdb deleted file mode 100644 index 8cf44d2e567591ae0d88050c07303174cfa08745..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1457 zcmZ{kOKTHR6vxj^Qqsm(TPwz*>Eu<~3hF`-baMs?%~X>J>P9z1F3M!;Cva7x5M0!3 zT!^cgq-q}sMMO}c^aBWf16M8u{RZQ?cV_G~94{of_jk_!JT519`q5l^9KZuw`1A2x zI`ixMg}VY~jspJK`}PGd!)`8f{CchFHaq4GYXzINhdF7xrZn3PyM7i^0Q(b0urXL0 zbf5J==t%UvKO#cK(octes3?snbeJLs%N$(b;1UNHIe4Cf6$a)8e)oYiC0#(&nkqEs zvvkPPO_px6bc>}sEZtz~Tb90I>0rqA#?m26H(9#P(k+(mjM5g}Z$Jz0(Il<*4@S+a zOUH9uX}23S&qv3q%Z^QiaNn(4%eMUB#lQB7xmx>5-Yu)qsoSqTX}PZ5mdlFvV7dBV%M@ohqoSSYt*>`Sqs^-NTDDUQ|9i@OGLh_@D(s2sDH~FOX4DlJ zkXS|Q4ncdin>vUVyO)HGDWwbsH#f&RuW9r19+RPdPjD=86R-s-!4nR zu^b-*Lsz90VS%w&$FBl@q?{s!*GQpSQj03KqN9$ac`%RkbcH?%T0zo8^wd<8Iuw+3 zReF#4!=_>)LP_T?9L}wd+DG%Cii?y*@2S?Ac&`&^irF|pC*re?;FSb7e)%F>9PI`NJc{s diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/controller.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/controller.sdb deleted file mode 100644 index 816a2c2f1b61168b3a21d80317c33abaad8f2022..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5246 zcma)=%Whmn5Qh7VPoLOF9^+hq4T{J2%a{OCfDlAz6B6tg*<2DJ1SAWSC<%x=0>aIX zO*|qQdjSu?%!J@LLdpU*EFwPu5^sQ5umr&qFjW23HPfCPL}p?Ce|6VaeX9HPSl;n^ zPg}b&-x&J1-_P~5#ee>?=U8NRbsG2gjh}yVd(HJU?mBpA=IqRwiRq~$ZsyRbbbe}P zW^VrM?A+vCE@#YUN1=YXx==m8yka7d=;vljlH*w0QmrBxeJvTl^kxP7vw|a8!Lh91 zXjZV86&%P2rq#;%lk*ev)ByizywJ3g8LeeT*E6FVnbE76(QBE}%bC$DnbB`Eqt#mG zvt>qWnbGyk=tgGrYG(9WYjl?STc?jZK__XW(p4?3tdy&@)$(F~v0BMjYSr@ErR99# zkxFT?x?ZLTg}Z8tr3>Y1PhNkQmligb_tWgv(xvsq^3HsKeT<& z@P;}*_r_4Ud#EnQg2PQiH08Q+f8F^0SF_ugynB#l4biOJD|5yKvD^CJsaTStmq;_RuZ)2##JJTNnL`e5t8-JZ2{ z6KfBTtbVz^vVff9^dN_0JP36n%FVypin^C;OwUi0y#a)G zjbnX5jQ32yPzshXgP|gMI^JG^Kq*AR41p4e&H!W}fbdD+)eQzQJ_H;a z3SzwfQVdFqNtla4Nim&)V#5K1kBUG+V@({wS4C6Qm0kE&*mibztF=!0V<3jmvcR zFwMCiB#yc%Zyjy0#G`GlUv+)V(ZP{;5FN()K|vuXS-+x<8O}@{xRum_%+%toq!xo^ z4h4EJ(4(37@hrSFviVTs=-|6#({{7vK1)u)J*7H{ zX}{|KJ+(KSN|owOpNrerbNPpOiGYaOrRBwT^GV|JuyrX9oy7EJOZoqwigLViOwCCu zm*3wgFRD>q>`r}p*m@rpDnpHx$&joJI*F+>vND+p3cYZ?+U@#Gl6k98t1U!YE+&e! zl-`ucZ|AP1;du|=Pe_&{RV3^b{sht>zZEoaOMNK=utlf-4IFy0Q|~c$0_y zzCimci}&Fz9`lzE`?tm88sQ$m`yN2o=`W?mL~El?JPhi*gF3P+(Ej>i4!q|;D+k8f zom7!e9lrtZf+H6Wba3IkC+o9%Lg76ZTDdURZV%S?ey~31;MIor9BAdhSexr^uJ6Nu z10B5FAMqG*8s8oW(97M4M>`j!Li?ASTLkZy0If@a+1l!l0}iym6qp0=Inc_1+1ip% z0uHplOqc`jInc_1vDO4F`841_C-ID+k8fIN*F1aG;YImlv-G-gBUp17mG_ z!1+AjKqoOSJ?6lB4zzM$tnCaq3jqf@iE&vn2i|j_l>@W&NG}E)=-~Sv-gBUp17q!- z!K-l|9CgD5d3gesPXcN3qcbhLx? z62-(vJu_$u#DqlSgAas1z{LN+Cm%KX9~{^Bt+S{53`Y{@0lxjMwSRl<%Q<_d&);av zZZYOtBOm+6CvDl>U%w4p3e2u;#{To@*I(?AdDxQMe)inV{LJ;z^yHMCId^T#tMjF+ zrTNm-KASP-@zz3gw^pv*tSp&;9QpTgQx^*_yI2pkM@Lf+V%pQp&NQ#6SjRQF!0`ykc5o9cd_>VB8%*6OKqOLgn1 z?s}?wKh?dL>ON?8Uzhcb@YV02KbH>o!>)f5b`;xf1^H*lR zapnmbWS^MXPnQ;qwdU5xGI(p&!hacvdNr)p5V`F|_Ddvl{wnM{m!-q2Id*76hgv;c ztu%KiAhJ{q7uK31?Qx`huQ}2ok=*>X$vFi4x;hbrij$=HUs*Hme8)*<{QACQDi_2u&I(8z)>dho*%+1wefFSTKHld(a>mX~*}-m0+Q zO|Rb(i3Kk<_kf%EgX_UhvSg=V|+u(w=(4J|>W@1zDb*i{3L^ z?f9?gko0YlTVPIB?fQ)DRkAa}xi8{?Um*i`QUb%$BVSvTO1M?GaQKsxW5&j2Hf6NR zgY%9sGSjohqH#qUo9hqu=oY}aAx;)YF|Z|R;`ue3RO6{N1=V;~O~J%jc;w8}Ke=Fw z?G)gMRFvVF>njpu?ao0y!5dTmcUQ3a@5w68T>%#-eO zoH8XRQ}i&yxnL8USRfOf_O!LG7>ynDViTU0cP2hk-9;IZD=V#_VBf>y(c}`tZ1+sA zHOvk*gI3!o0K6IDb{hLtM*73UTM=HD=W%oF+Y(iE4Wk*(UyYIrwQ>I5W(GmGu|GLD z-k^pcDhE*;5GsYZ3`q)tqE}6!0ikjbwE>~hL*_CFIp9I?Ue-#e97JtEs1)Kd2AGc!f|oh}wWq<+uSZgOGzB1lIwo zJLGw|2^37_z-j|brPa9%f(JYZZViABd%KN0L%~!ItTw<@y4x;;;6V?9yG0>X4x%<7 zR0?q!gdFi8xPBBuMl>4wQ z-K;}99A}NxnViHT)>UfMrK(XEn{i##nVc-TPE2DXgr5N*8j|I}A(_+#bcX~@X3>vM zc={Ccr;_<-GKYS2oHM8PT>ZYIE@n=(ms?4RiJKzv(@8v<1ksO1xS`Y=XI4XcoHex1 z?X`x6ITCe-gS?p>1WgV?KRU@dPR9|G`58hX;=w XHGj%qnKy@Pg?zYL$k^xQuh9Pj)wMjG diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/debouncer.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/debouncer.sdb deleted file mode 100644 index 3fdbc374ad45407e5b5bb8e8702dfeb870107936..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1506 zcmZvc&ubG=5XWb;shXfQDFv&R{1$2jy$If9LW#{r+X(7GL0Gz2lGKwI!Bd(jjflxX z58`RFshYG1MZ8p@^bZjH4?KBP^goC*^LAqh?;VnPpZA^l&f9(aW*#QZV*oDDz@JZ& zX88B_i+2o|Jr4Ny;M*6x413Y=^ipB9wpz_!w@SELSdVzE&36A~qt%?p5Wrz1HQe^< zUgPN&7*dFS4<~Yvnc3`hRYqnaL&~IeFsp+LI=HBV=XLOc4(2p4>UA3roV-K(_qWE4 zM!Q6|D#PSq}T)ebMWy8B*2)$DkxI%jJsl%9%E7nZv`cBofJF_BLn!EGO6Be zS``z{Z&$_kZ8sRr~+| diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/dmem.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/dmem.sdb deleted file mode 100644 index ffdda9a7677c078cce44afe6237876508baa31f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1918 zcmbW2&rcIk5Xa}WMSg@uekH~qq1#^uFu{w7MuVA+LeeT|q8vOJ4+SDbJdtQTwY0(- zTR7++;O?R+B_XJ)=L^WJMa{4Aa90r&v) z;Llg-Wa{_#sRaR}{eXWDzkS6kaL|_;xHU7sI=|xFDVA`4W;r!ioGV?x1i(?R9q#%S zf1|zyf;H%U)De!7C2N7FGO`^RER!+8oC%Jb;G_vonBXN7%o|{z?`P4=&J6YI_3> zw^6gIzGr!X-`r``EqmH?tA49Vgz!L6bvK)S+R~rRTBTjTPSM+Lqg8E=SiTzs%^iQ! z@_g63W?dXQ4L03Hly@C~3SFj(lJSKmNX`SmKZl=xz!<eltEXHlIVR@_Iju@iskuSj-V431n`x?o~GiMWz&zzU)%-IxphVBa9 zq{uPeE`StZK`-UG;90%G$FfOTjAKKaR{gX}bN9-_c9=o>z8u*RAJ;bLGNnc*G!+S+ zka&1lg;Jf7yYw#dpow}ZQBVPrhndlt0#yc diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/fulladder.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/fulladder.sdb deleted file mode 100644 index 1ca45880d86ad7a1c9611df3708d4e7771cc347d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1329 zcmZwHPiqrF6aetI*#u2=Lz0S(TFm|lq7@WDP!!=6>7feoVc?xqJ9zev#2T(?oemyQ^w=N8ydR2$ zf~OxnDcNnx%63}WG)onG2>AEt>o2$pP{Ml@)TAJz28eL(6)mrsS}(CS@_L!n91rSw zzrsF>@31drc3B}WZg0K(n3PRuCaL(0<9%8ncJA$qCLJS?YuY|?wm4^JcA%qlz6cXSqeF$)iMjP_YuZ>#&b zoMuUe7weRivm}vjCbGFWl_X8VoJ?45hlMaOO19&AZQzgLnQ&rT;n*%#lj-b+7x->prS=FHwC%y7WcbN$ZW*XRm*iT%Z1WaWD5@BSzK7EWRmXh z(%kg={P|?LdUNr{+RRc*va(pMF5RjuB+He>@^G>*wMYF%`%hEKCZ#C(AdSKvHZcV# zxS{ml&wlz{b*fknd$f+?^@ocsts|vWM?vYd`Z=b|?v;_Ei=3mJ`zR7Gh71zYg7RcL=))?c^TW~d<%CX0T<)b@ zKH|Dw$`vB6dp9o7WAydsR-*L%g+Q76dcHSTpt}j+Z>|datS9~$o{LQl1-ZDYPILL3 z<~p%{hthFDRItY!S)uWG@wFZ=wASNAZFz2%Dmbd&b1R`?f~@|KhEp)@a)O}CnsFkz z6;k|NC-oxpbOk}qY0V(_Mhil^Ac5UZ1LS6DK}Z)Qu*YeD+&wJ_>4F6IIt`G!sRbeR z7j(;45cF*W@%fm=Ka;I?bWfOL6jjAKp%Dr8b3w{n#^78~CYO1@ z<~kMS;!VU&-{ykUSLl|nAm}$5+lu?Y#UKSXATT}(ECwmCL5uM=<3qt>kZwja=xL`> zH9i|G2}Gz)X__1}U(Tz|cR<*Bw$|uLuk+)nJeUdsSfQvIc_`nAWuG1&ZctP)Lc6 zZK0#IpNCADx$UqtZRbm>JZ%>K=h?V?xPT0EIB1OS*PP+ZRtAb&C99lc6V)R1R8${bxKwt5GV(Yf9)LVn%=~q=63m_4mAH z9D8tr1l@LE$)@3!s5( zE`X7{6(EM}VNw1i0 Irr!(x2V3n)qyPW_ diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/imem.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/imem.sdb deleted file mode 100644 index d55877c8d717047f998dc12ce12a3ea266330355..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1486 zcmbu9L2DC16vyA}M%o59G*Rq9F`KkVTcKVAMG;=m6jN;!v0TNd-I?7W`@l?&${CPAAtt` zdXse%e|{{i2snKb@ZbLTZ+IE@l8MyKV)%M`o~1&IYG#aMlLrZE(&8FWTUY1tyhjtX2vYYJJd}E;K2v zG_umJmG-Q3$4YmtblXZlTj?h&RgtwfD~+tQYo$Fa-LcZ$qx2r_Z=VLP&`s*eR4ZsT zy}FW~j8xbm?cb0=U3Ehugi}#H*a}tFi{IhqMz48|vfDwcTMtipDu|-6qqaP$0(sTD zke-B>bQe+IbpTrE60N8iUuc39+ynf(|K%r~2XOHU#nTjbZa)D;c=w8?O&Xjbd_qOC z-PDoyBat%{ajAB_M&l7Z783vt-X$*`0-PACFgk^mpXiW1me zS8D87W!ZzJu@J%s{NPZwHqi|j6IQW_!MY|^#w5<5Bq4HuU&%zc_>i)=CF5hdTN8S< zW40?q9@z-fw8oS!74X(j!uTTnf|d%Uib&x77~@k~c&7Hcwot3Ss6Jj_U9S@8<8++R z&-0cH?DR3P#NjdUr#S|e0;lNg@H%Cln?G4p;7XXBg^n#Z}A3Ww|DY==Cl oEZYp9_l>i-R95B;%v72QejbG5=ioQ}smEl;_hj35FhhUx|CcmTr2qf` diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/logical.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/logical.sdb deleted file mode 100644 index a3ec4037e8b98383fd1786012b0a073dc702d07a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1710 zcmZvd&1w@-6vxkTM&AI>ckxNs2Q_UO&_yF|auea5V z`}@bGCkhsi1O7eu{td6ee$LI`S#G~>ziQoExr^=Pm)U-&+j|%E&!7WvIOk7xqE58l z>w{t&`h7Ta0yWEQ#G#z1&zxYJnhiE=@VpH!+292myl8_>3(Q5~`ir&J8cm;!rW?(L zRvKIB$V$gnx@)C-R=Q)QpRDwwl}533HY<&-bY!JtE8Vryy;=Hz_B){mpVCbl=aYNp zb)(P=<0u$z^*sM}IOs;BfC%Ay+#PHNQPnelgN@F(cayTW2V0|Vu;4|5I1YxD8z61~TWv8D40F}B-H8nH0n%>cE-3R=0@cAcH0FLmb)hVh1Scb0-;B`7w)}#Q4 zaBP+A3Yq`3I7?4auCw}l7N6D@S2bM_3x%zu9q=K2l)}db11RO=Ges{|2A7gP03R7e zFYvwza4uC@I)V)oPJFJUX868$B&mU9A=+`tYBH62((F%CYg5PS>|Nmr^(dlJZw@Eo&DwFvOS~nuWV|I+yhV$5 zHT4#idUKd~vvyqChQ!iut?uj{{U9^aK-=t diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mem@i@o.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mem@i@o.sdb deleted file mode 100644 index 0d49f9ee4e20f9b6a067028319f65289af99b3e5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3826 zcmaJ^TW=dh6rPP8<D_fA`@{Yul69>gr zQzCJZ5Ks2HK$8k7Lc9P{l^=k_Kj4W+5d4GXobT+eXSXBv!THX2&YbU?*_m-X{?Sxs zSgDSpNB{ZBR3`iP?`v;6YVxAe|33Wf7yY6-8_iyNqqN!B+$~-&SM+A-!@*}KkJ?Ai z=mDk9N8I3^-}Ltn4wZu@^m(4D*UV*Fy{?SNrADC5w2hpxkqb6*$wn^P$YmRuw~(WL zcmD&g=+WH6sj<<~u9fXs+51-Z)XJV%*#}nko|XO1%6@BQ{hoDhR<>tl?_1eZD|=#P zAEenWTHk;ky-hpmR{i+wt7^I0+3@O}dc&*jR7;(%u9r8w-5N1OA2&A2*J|F6o#=0^ zQoL3Am8!SO)!mKSHyy9sXw-JRYn{4RuK(Qm{_Anoq|K;#w<|?T1(IY=wluMnJyZIh zhd=zGo>OWG5tm;yZw2JR1+zvzXUVT2|1QRJy4^eQPcR-_VCH$Br1R?- z|DNc#TX*;T<{dE(E|@d&IZM8fbp|2F3!`YBJZHi423|DqvVoVvHFSnF!E-)^N;!_J z^p^v4Qkmlu$lSES@yS#AEy@B>6MZ8JbTL9xeJC=HlQWv|0hJ~|Q4^uL36M02DyYbD zW{f6$grx~k)I?}*0whhM3N*CR1EM>%pOcw66FNf! z`1YZu{H_3Xn?in^f%%0fKqcQbVI^RggdZW;kD%BOLKl7j#SdU8<18jr_|6Jd08JGk zSVd5*f>2ZdMFlWYSxTtzy%(wgnkquDilA5pp{M|g3SgwNoKWGbGgJYLlC-uft5JZp z<%=?`1T_5!!F~k64{tMsiESnYsci;QyaGmE*Afo+G7cR8nhu0u2ZG`iK`6ToP*eaT zl|n*=FZ56a&{PqERRqN<2t@@@Q~)ED^;qQ<8ove=K1#YAhPczf2dkPjfc3;<Re zB^A;TSm~E(1-8);)qwI}7N4r;rJ&X?0OSJxzsqr6ppPMUIyaM_ol}3&ui2sQu{+lN L%pK6<^lSG&Fhn#6 diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mips.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/mips.sdb deleted file mode 100644 index 94621909f1ac3047b85840c96576f551e0403688..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3115 zcma)9O>Y}T7@n~=tsAl-Z3Bn`^*YX{)20oef+|%Ss|tz};vA~pBRf>7#T|*0U7Kb> zc8m}|fZZ*~PKDG1Ck|DA022Rz6GssIgYEmVfh(T{i;3`&!(N(yIb{E{b6;twxjA>51gaM*511+C&Y^j zUi>6%hmU&4LXrpkeUS-Q$mP3Hz!?jf46-TN$g+)GwUHGYxn?8RZRDbboDPFWpEavZ zn0hib9O!glWg{y)va+XEc5G#zTG=O7_9rX*qm>OK>)fntWMxNI_SDLbt?bh*yASId z!>8`UPC5-{gJG}lcEZ37qHr+m_gwEz;CI5&02rWWqmKW05Efnif6#58_HIM;iQgY} z2AAE?kD|daeC!6HAAIaCU%Vi`g}tb7uL}Vk-GCmEqYVuth|h%j=lRcnh^s=(tBYcXp~aaSlYc9ksiD)CYZK1%T)Q^S*=^Piv%*`@xdU!h zQ<#I=zKx@T$|8ObaIUcfdn5ZfazQjwXRik1Gub^u=^>McM zAMU=o(^Tq2!!w-ZEn^thsD~>s7r|vEjoR_*S*<;$?nyJEDl`#haUz5ybx)AGucTH~ zKO_ITAz=j#X@jJ9^x(Q<(x@G;85->%Lt9U^T=UQn7E^%=Z%8){2}^HCn~t0#6-zGg zY?DZ>*h>{Y-G){o?J8!$`6huH@j@!_2{$yZR8dd5GbD}LNkt}FQW0t!QCtybu_A=H zA_R?!P&=&%HDj&_OOuMQ#1--M;F5|^JE;h3X+>D$iV#j0o+Vy*mL?0&(k3la342R6 zE#a6qE#b*sNAqWSDNQ?j+Zi#-Y0R1X&InndbrM1rR0UQM?{#QMPpI#6aQ7pJcRF$< zgTvcgsC%Fi0uwqQOk+6+@k!Sa5G3V-P`_wny!+XNkTzi&O$f1xjv$k=F%o z#`l4Z329@d(U=e$>j*MlGEDFtVG}~yglRM(#3nj|OqLB3d}`Q)kTzi&O$f1xjv$j2 zo}xUb@(_j34lFUQH$mk?Gg)&c(2Ecs0ZzaeA@i$il_Wus8$L*h8$r{J33Mam&=toC z>?YUGc;OS4coEd1kW<&LZ``=4+te{WIY}@BYx34i zH*59!L9?}gu#J<2+jWqL1{Q*7Y?drA|mHXe&VMno^||dn8tNY?@oJ z9U<08z4sQBq(bVNMb!_0#5Z8Y5(MACoOAv&zH=v2FOt9i=bYa>a%Ya?ypu6o&%Ew0UiW9O8zOUd z=6AQ%*lf>GW-silH@o{vahN3CwfJ!F}Pn)eCwe1Ag?CqTx=_xa?)0Z;DdZ z3l;qP^4CArK~*RR7b)=oB^JJ2ZE|hvbNX?kDE>k6hmtS~V~#Yf$T&svH}8r4HN|!p zq+o&z;w0*|-N+qQKB<7&X^i)@2=OY`BpbhCO;vUv>jmIIvh>zU~IDYF29tt3r|d(w4v3HBH*G zgEb~Kd(Wcpd5ng&bA!mH8+o5<3N7rlUBUiPFAU=+zKUY~;i4?WlG|Q-DeO)P) z3+|G^CqxC4M)j+7T&gC>=LA7f{VER4AkL=+aZ&y1;OGoe_{<(?N4iZH5 ztC?doNaOQ^G*SJk^^nnF8szaQQnE5AUYV$VwYYq5c7YOc$jln~bfEx>3q+*_`tX?w zK6R*o;tEk|1szJ0&8HFBuHriJ(z^V;IV!Xcuc7d%1;tfN5pN46J>-VMryCSkF-1I= zVqXRZ!?yr1u40UMF2=bu42f?GkX*$i@wSk%my03stpbv(m?WM{8eBq#!M6_>u40CG zE(4d90q`vafU6iF-WGsdY6if!833+gfOsh&*Q94f-+czammUDFVt{xa09}y=z;`16 zu3~_A4gfc)spl&c^{(Q2@!Wd3a7{hmw5WF#*Nf-W^A6CxYyf;A1K=tKi01(K`Zm>k zZ=>2(TrHkc&HbWA_3|p_wg>wt@djh~ql(4{*8 z)Ir%;7|ap{778@$-3&{*C0J;o=>m>q5~WKb5v|i&01O^fR8jt#DA0o*&9J0@f`z7< z4tgw;C=C;d=%?18FnCZ=SSbqhpvN;TX`5i7t6D4=%n}6_3N-6Ph9%7tEc8~31%p|l zz(Rp$y_aE02L%fa)?&e6mMCm23N-6vh9x}|EVNjQ1%p|lFfSBn)~O6jx+qv^vK9*l zvqXV~0?j&|VM#Xy3(eMI!C;msuuz~`XEH2ltYD$`Op*4eFnCl^1^J_*0HYSJR;~?bGn6+A8h;=VSy<~Pl$y&` zRj`N5dBmp%k|s$L)n8|f2{q3JdHSkPy;_iT{BI+gJ}LY}`ATMjs3b00iK6@CR-!oc z*@b8DaXJG?cLs#lGdMx%pJ;H&=slyE*O8G?nSjaTm5a{I)I={+^tL9Z%MK3kl4#g~ zHbCNUtcEL%)yi78yV|Gkf(HI5{BXV3PI}!Y$E1?hz>~dWO;+@4CTsF|vZ4o*J#C!e zO`4N@_|XaUj<@gAbo(I5Pgg?or7fTMNbDG7^&2@8_Tn|X6IEfUewF@ Vo4)Sd9<7y2QLmg2_R`nE{{tcWzj*)v diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/project_screentest.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/project_screentest.sdb deleted file mode 100644 index 947f8e574242db4baf97d461d5a5cdfbe86883de..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 22159 zcmeHPYnN5kmA#iL1PTjO?CyN%G@xh@QIRMDN<1ZECQMQ02Y-%otS_uJ3;)E~P?_dlp!YtFOxUVHCT^dE?02y?GB*PQE| zd+xq_@4CfVcg${?TC4r8CjS-xxqWs^>wkZ7@y2@X?9*$-|GxR@tHout<87@oR<7#W z)^&Tw+Rima*QzaNZQI(pZGC5F_v)?1w$+_K+|<4KuZu~w+R15i#t)D5jPwl**6L7{ zKTjsbg~nR?N1LoTC#itU+^XQYRl)gH!39;p3#x(_Rt4u(1lvZMeYbUYbjyt>FHIY5 zYgR@_E2Bp$qhpoPqm|KPmC?hM(SNUu{##{qWVG_yDx;&7(Ib`7vC8Pt%IL8)x?b+@ zxcpcALY}0t=8Q)3NYDPE;T5CJ#^`XPG1A*yG1}YMH8e82{b*yjea?z~1I_kk??`io z3%kFE`g_I(mbW*hY~PXIp;_%CjnUDe{Uf{Dn>TV>k^Y~^AJ?@aexAf9k2ac(5zX|P z%zVjATD=(szmeP-EhxA^3r0qp!vkq%fn=t3uUXSktm|BtCNGraY2CM0r4~x+^p0&E zQrX#EMYu=^?LDJC{f*Iqk)td2HTI?Ii-gg-w!8DzG;ygUCJ*m9GT4)57E7kSB#mA! z(P?wUYgT_xV{w{YqSS%2KO8)4EnNle)9lY*ne0-NDt8n5K77AA#)pJ0&}{bIqpJ-5uAhPMcUG z$tiqx`qSLnkjq57BsaNt_;7P?TD9I~#?s97l4pT)IdN}fhlRQOQoh^pWQtbGflR_qgOH%M_Alp3o{xB6;pf$(^RtNPZDdNvh>oUh=fY59je` zB<}B7U!MQ1<@jRz0s5TeXZUHSQsRVAPA{jPO2YF(I4hcdDrqkWZB}?I z>J(Jj(u=}t!!%SW^OB^eb1JGVe_8TVmQ+i>BDtAiN~)}XRXJf=s^q*ToHNYSRN3h3 zLYfs%PnBYCC@ouVpvZwjy7O;eSOw}jD#sj9M}lbXhKRhfQU($hI*RjR*J=4INd z%)hJhn7S(E-_tavugdiMrMgUEmFj<#ynX;y7JpD`cT}f8lr%mlunMZy|42A9{FGMd z^083b-LzKOAsR`DN;4WCQ`H8QP-UALn)Ucl|yVI zxn%q56m{Q9#T2O`ViPJx9~J(RmwUw&sUl(%Df;cP>rVH@>@G#Bh1f(|+)g_o-A7X> zMJj~YghJSUJ0ab7Qz%6$gjg;l`>|TB+^svI!V9HHg%CT1kZkUqknZZ)QHoRuu?d8D z7T|RB5P&)ZC|@5Su_p?~t4{I3Yb^piqib2(bx-^kBlN=!pbX zQlu(~O`xJDA{|~w4=^}=0LDX)(~m#Z7-fdOX4l0xC|3 zynsk`%N|mcGN&fk;o5JC@($`9S1jVS_v2?m(}n0g=XKOvLN+JRtJw zOCnCc=KzrtmLw5(z;l60u3vCO+?}2aM6Ov*L}Wd?;%x;YIbJM8d_Bh^AE@L zrEPgY7Ho$-4XC7*hh>4L=VVj^3aqDLgM4o)SMXeq1B%t7_2Wr9dVNU}h&3uAc z?m^E5A~$@3S?VEA1tQgbR7DLu;+a4Zvl%fT^-Lf#d6pmX9`igP!yAiuk9!^vdH8Iy zSMr2s0hMa}Y_hy3Jr9UHel}U!Q=SGyTK!nm*wdZ^RLRlvJU%NxkhPnhVkv;^7jTir*q)B+p7&!;WIjk@|on7?=j@*DRvpv%S?^4wia?PwBj>_7_bu{lG={5fwRz?XM-O1f zJup=EpdV{5pohQIPdPo!yvoZ(`-jEeCo>Y1}(Q=Kj6t z?;nx24HVr!M&_f5jHma{?qlE$R_x7?@qWpB6R9^)sO+HQxY{HUJbgz{o{tAmeER`Gyl6fE#zfP}zaL zV_!!H{vFGqwgJRo$<8o%B?Rt2R-hbA=CLAapxRr=Rgy5 zPL_T$W_;5*6)r*N84sOTZNkwx=yB%^nOFTy|Ed#d(?HRy&d4G(k@2*NWM>b15Mz6W zjQv~Qo=EM1B6~(Ig?+|TdwW~}Z?Ix-hK%<~?@grMK#?~ii{YK|;BC$z;0;#n&5-ea z+j|qKH&Eow$oJu$@zgsy*nl}`u{lGfxxUZdfw_O5ai|>tP4KjmrB7?dH%}`SE;qC_a{<+pva$* ztKgsU)IT{2gguC{JwwL+6K_wX_CS$6BP(E^@r-?R_d$&988Y^tdV3yFb4IR)dB*eR?j#iEpvC448S~G*Igy$JMdoFskZVK( z8CM%HN35_1DYj?G*ni>eiPRn_vM(bY&OYPf`t8{*8UQVBfFaYsm%afaZ2%~0pp0DO z8pycXfISq3KUlFpL&pCr?@y%uK#_kLS?T;UuKN4aW|)H#n=@q0zxL)tY7P{cmyv6o zdB%nLVS7*mN^H)MG5^M!6R9~+WL`#AIrEIG=6>3B=i|5opvFxwWSaQaH$kLL07Xrd zk?UL&8CRQVGhZ{)vAk9E%nIDN3x?1Ic=AWRz}e?bQZP?jsuednSZu*@mvHKHhzq5i z7ifTnHn*g;2yK3#0TvCY6+d#hqQjxt0kW-n{hX3sD^%-(pap2P7*H)BYOw%f_4>Jy z)`Fk~XtfwnEg)*KpwyZdXqP-#CpyXtfwnEg)*KpwwC%X-&*b5wrlU z76YmUL@hu_$#Z{Mq}36$09{gumphC%$Tfio=#oNQ;xLFS0}-%HVlrkxy8~i(EGVz^ zib(6)patlXV!G5}n63&$Kx@i?ngY?31*Pe-NNaV_0<>BTs1{HgUMd!pTFWD?&Y%To zwHQz>pcZJcpwzlD(pnR=09{gU##IjEW~>cFK$jHa3Wq`L3PeDc6ygsY2625L0=lFS zuXY&3>jM$6Oyb37K(7~w*UN(PdW%9pq<2Hm1GIV!s2&jYSm5*|8S8BbdVp4s0o4Pd z9t)fv*2Y|1aJ6t_&;zu345%K^G`zknaC$4#{%#6-fL4zI)dQj)3!EO7ueQI9K@ZUC zF`#-t)6pLboE}!BR`2GZ2Wa&eP(2{(vB2qJaq0Ay3-cE0>53GvW&hBqECO4xsze0O z#Jqd%z31XPZ@cq$5#o}c$==@FruzWx98R6G(LzxBfmxc&DKGzTtky9z{U|Z;bFzrC(%MKO_ z{a2-MyTfPBjQ*QHVBis7=0-WI*?+N45m0P^w+O?^FsEA|f! z4UX&Wb@7>uH;xPsj1CX=Y7Uih4chFTrdi+#nsq#F7T7nt$VH03kpA#P_L3kYy?u9u z+eawza3#{G{D<3DM@M&;9d$@4@Zv(=NQClp;_J4qTEA*-t(e=Yb%j2*_tHRH1Dv+A zx3RZ(k9^x(81gJ(YlI1LJDIxC0km%z2GkXZYX}yolL~dpUu6j=ocn?vpw(kQ^?<0y z0;i|HA`2;-gJwXr9UX1*s8}Y^T1~Q;EXF$z?xVmP6GnZ|2tk)Ox0Im5A zDY-w80j)U$Y7WFJXMr={km?NtJwU6+fa(EJj|EOo9{2cSb_P8_tH*%q0a1?yPEXDP zV!d5K576o{pn5>O*(`8+@~w$jZ!qWqT0I6-4~YG-!0Fwb>NSEMpw(kQ^?<0y0;l)4 zsow6O2Wa&eP(2{(vB2s5IMv$|^Z>0M1F8o^Jr+2ERX>$c}q%u zJdgoPGPXnv=sf`9Jz#;z;OYEjs`o_D1GIV!s2&jYSm5-wrg~2XJwU6+fa(EJj|EOo zm*npHaVMV&dVp4s0o4QI7KQ~*Z(FMObkGB|dJL!@5cOE#^nR7Bxe16p!#N`5Dh0WG;NCBGZUfR@~!lHUttKuaD-$?pd; zpe5s17VNG2V;}=s@~%|*gFptfw6v%*D3>afaR1~Q-}Ka?te z707^=d>|!%9ms%|jNcWpZG02RfR=nPRsJ@R0WJBEAq)I3`KM;5H22SGZw}9yRGcOM H6z%^3UWq*N diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/register_file.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/register_file.sdb deleted file mode 100644 index 5147cc1a42138fd451628334ea1ee81ba9510bbe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2308 zcmaJ@OKTHR6h4!r*w$8JE2#LIq^Y(IR1iT#loM<;)7mJg3lTMR+M1-|LJEqj+C-Wv z+J!E}jd}QLMGz4|h0-4&_zzsU6!agA=aC6xxjKvFyWc(MJKsI`Oq-r-d&2DiRiFod z+};z8{QNe2-hwS%fWKG2e#V3Ft~0Xfcsg6m7E)u*C}z{s-Iay%y`%L?qgGz5)OTVC zV6DUUp4aE<^W_DwM2tSy*5x5{;Zmcf8S!-)A`>%_eI|0iL=KtAK@*uYkqHCYS+C7c zxha==&^#I&?W`HuhLL@3WLJ#rvXOmbWS<+^mqzx5k*zn3XEU-5Bm3IOt{B;6Bl~7O zdz$*|(Sw(1l4kNtPBuM;u2amXM;y1Bar4!D(alYar>iy0I~li-BZlauV#Ya{bKh6} z@7!o=CjEt?Pdnp!nh(G*>xb+>QTtmp3boa{ci7+#Xh*Ys~c;cYCESZ~Jv0 z1zgE){9n6UDK|9ca)V13=;K7h!W12zy9aHGxo2Q2=1zeP%_oGXD3&zPiY4)tBNzAx>!=o|(>anTO1PP9ZjP`8xx4F256LyonQ;MM(8xhD0GK36CeWE?=RUXZueJs$sb>d z^=Oc>i#B(PeI0DUUIOWl&DyReKj33=Dl9qW*Ff>Uq~_|1qVWjr*_zD`oaRz;g!cxK z{{3r=ykEj%l)4!R>X&w?P!O^qO<)r$sD>2xC&fYRZwI^-apAooaUfV6C~7&-puJL7 uFa#kx&;)j%vFf0im$brmEN->;CFqqJprPd7Hydh8c6aTe9l{>^{qqMNTLY2+ diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/selfcheck.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/selfcheck.sdb deleted file mode 100644 index 75546553ac2ea0f67e88357e2c1811173e2bd3ab..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26941 zcmb`QYm;2nb%wh~r;*gma8E)KKn(~GcZ@M$jO-r3E`)6*RY^YNQ>e(HfXXk(CkZKL zu!X2p{y=7iq_`v%e@;~#en6`74_q$Cx!bXGCs$?f_j%7gYwtcS4XI43$g|d2d!5t0 z&in4uJ%hRF*N+`KGMW6&B>gPE{~N~+bwB*GM}BEKxn*;*{PDN{^pBQLOujYmu6zEA zr(Zw)+V+=Ey|g_2#aE90=DD43p8Lj|JG-|nHzt#hjxH|0yLWc)jSFv1rfQV_`BAfG znRAEE@9(nWu4aYW+&PxKdn|ckEcw7#^8T^pgJa3#Bgy&R?i;^$X8TNf{eEfrLg%}q z+5OS%d!yM8MzimaW<7&!zYG za{5{RO1hIi*u8FN_r0_4ynX59{_f8HrJbFS*{r$J!+57s|?%vMs(_8o6_`S(T>8@Pn zTYoZ1r+hS>JLiV`I~_?C-rg-%cw}of#|m>r}9H zcK_`8o&5`Y@1MN5bFuCIH>slg@|ja#YY+TwIxxHRjrZO>+aCG5bY%KuoBct`9$ln! z-F*J+&g1RTALgTXtvmYn>F7K;$gAm9ymorKUHqeTcx&%`>Z@1r*3MpA@#9=Eyv~t| ze@GRFFJ8Rm(pwjH+tvS=j&;8^a^io=2Y!3xz(1!0vt)4R-f4UNi;tXrzdiD=x!LQl zoO-oA@NfCR=~J&h-yZnbz0R-n`uB8Xx#2M=@aoNtf)&v0y|>E(26cJ9)6Q1cO9!SOw&k;&eYeeCld|RAd80jgI31mzdHM9qFJ^_s6D4Oj z@XvHIy?6PGHizUJztH3Im1H3)@s*O;?&;XFAm^D*7nG}0_7is!#!e*iauJ7LLjJE1!X-6>!Cy`e1oqRWKwge39_MV;oy0-x}{&?)<VFB6kwei3RTTK9s#!e)1ClQ@k z;7*T(PI+f>Ct>VFB6kwei3RTTXy}x;B6kwTP9$x4P{avY;1fO-I^~VporJLyiQGvv z*KkoqWGKr|3@#J8Ors{TonEDgSIGju%Fl;R`5D8Vgs~He+(|?y7P!+F zLZ|#p;!eWYiA3%sq7w_;X}L@gy5$EMcN4~LByu;=QO%JBeYbQlcFPYy?k0@gNaSuJ zy0M_|_FUa9KRCIYFm@x6yNRyVwXvY@_I%wfKWMp|Fm@x6yNT$=g1*}eL$@1K-BG$7 zFPK`V_WrPTEZx16$wpc-oi5XLd^A^iRkQq_TBm=g?)dVdRJTc;UJbmwBc$)|d3x*e z?w&6%0^b?3M*=?@__4sB3jBEBPX~S? z@Mi))8ThHmGQL?q-@jRl&hPr=Wymc^R=L%>X)UnBa9`g5s$r*r)RZNn>han;ML% z!I&D1slk{UjH$tx8jPvIm>P_!!I&D1slk{UjH$tx8jPvIm>P_!!I&D1slk{UjH$tx z8f;TjkamE4$A}l2Sh;DOdvm|F&Zd_TY|AgH2x~N6t*>7${SnFaK_uc0iKI_w zk%+zXi!Bluk-&%qMkFvIfe{IeNMJ+)BN7;qz=#A!Brqa@5ebY)U_=5V5*U%dhy+F? zFd~5w35-Z!i$sA%B3^XqS55hcZP&TUq+6`!a#^ek`%_uh){Nh~T*k27DX!HqTGDLB zoOM)x9IC~{S+XlMFLvdkN7jv=dZaF>L+1;3yW-#pqw#8e{ia8C+z`|uJ|uPY>8uX1 z)giVzVAKJl4j6U7r~^hFFzSF&2aGyk)B&Ro7VQ!Pj5=V{0izBWb-<_t zMjbHffUOP%R)=`e>5;U*VVpZUndCG#5xLQOkaqbVIaq0Pi+Oo5Q^m8{Bz=skI@V8? zTu*&tuFtK%?pc@46R*3wA)mL&rMIHZt#6sUAU*yJKcV|Zmp<-YAs%^+BdMT0zj^6o zvFmJdD4*U>U^fQ&h&#!rPiOgvEg!Mv10x?8`M}5rMm{j|fsqf4d|>1QBOe&~z{m$i zJ}~lukq?Y~VB`ZM9~k+-$OlF~F!F(s4{Z4;uzbXe?l{wC2F`ta`H#~hzvtrI6AKlF zy0E!WGkRZndG!#aT(DWi_2%M|_9|A}G|x#s-RjkbXRft1i|*s}OZ0=BbG0tq+3Fv5 z3BQ(N6Ql8JeSIBqSGg(3LX0f>be4tKA1%fHXbJPt66T{N%tuR@kCreWEnz-d!hE!Z zhmV$yx6=#IM@`4&qow2W(bDk?sh*FPN~DX=9~8v#qooqzqaMYBhp&mtyYJ(596nl( z_HWNO&re1ch!(_;mP%~471c+}o5KXfGZ|Z-&Jz^d*u*wA7-NGmHW*`rF*X=ugE2N3 zV}mg^7-NGmHW*`rF*X=ugE2N3V}mg^7-NGmHW*`rF*X=ugE2PP#-_l=CSG(W^6k{m z$hmIvCx6)Ho?hfK&yqgFV=wDQjm<5qJ=e?YcD1pMmMpr;u-3L-bgNgJo;R{(#TPLC zNbjzG@W9BO8$0`IU9dQ<+vqoI300|J>Z9=imPDhwCCEgKO!{<|iP%Obw$Z^D9gNYz z7#)n!!5AHk(ZLuUJQ$thVsws+(K#+g=XfwWC2Vx!Xmm;hAr1*02{53nQ}-A@LYh!0C9eLBlTY?+8H6BwDm$OJ|vFfxIW35-l& zWC9}-7@5Gx1V$z>GJ%l^j7(r;0wWU`nZU>dMkX*afsqM}Okm4Ifn_3IbdND-Wa8Z9 zxOTZZw^A4G^M2h*O>+}-{y|=fXR{4GEripB+vpRu9y{GEdw1wPR+Dnab?sGM^$fH2 zI)W-x)5i73eU-HDT=^VkFV0vn0gc`^Db-VfKEQy&q=phuQmK_I{YX zA7<}|hrQo%+4~)rz29-!`yCH^zY^a2#c}UfBJ9N!=bM$mt%=KC@8fkGKIDw{Z_gL^ zel3W5zY=lpcOvZlw}uIdkI2;ebe^Et6BK)bFcXBCAj|||CI~Y@m3HlHpmBaPvtPipEA+|nX^Z}y}7=6I#14bV(`hd{~j6PuW0izEXeZc4g zMjtTxfYAqxK4A0#qYoH;z~}=;A29lWtq%p(hj`H~)4QL3xE-8JpKji1bJgbs`V3}U z){XUQbKxG*y82_yn%1kQZ|+cE!fIW3Z2Lr)*?gE;yEZ(gsV1mIar<)HIQ-(r_4Qj% zfAsLPK@Z}&^w6iX9>msz*m{7`1B@PE^Z=s=7(Kw~0Y(ondVtXbj2>Y00HX&OJ;3Mz zMh`H0fYAet9$@qUqX!s0z~}+C9u!y);zg&&k6sVXrLQ#yJvdi=QAnRb53Z@6``6~e z4WXJ^4{KUi_xak^&G(tKAAYTQg?0J0l>5+iW9MA03wqGHpa;e6%WdPJ2iMov5%q9e z(1Z9|>7h?&J&3IbvGo9>2N*rT=mAC#FnWN|1B@PE^Z=s=7(Kw~0Y(ondVtXbj2>Y0 z0HX&OJ;3MzMh`H0fYAeNJt(jq#Eb4l-3Q(uoI5fJzrS>@`pqGI20c(S`c&fO)xG8A zQQ@dbQ#AmuqX9KNY&dIjcV@QeBXR*Wg%X4Ux~7C?#Sf3hssdMjo$LK8`N>N(&kBLbb0+( zF_ct)Z_P>SKVfl>mtu*NTYug4$BnC1H;TDx`RL!rXw^oS-dmnhiK}0ZDOG<#wk}nF z1fi;#MJeH_>y98NF>>nDSx#bq&m#8sEHK}*z)0YeD>;MTyO}qWYfYSeT%AONQ8|^902l)5ylW<3=zfl)5ylW<3=zfl)5ylW<3=zfT=NuS|na*Zmgn(^PbdwIFH6xYuQ{~u>q=sUdnqG$Q9hOr}GboIT;6>=jlZlr&B zTu`sD{re@=uR1&~tf{ZBKRzxjf;z<4NgaJUt3zych^-D7b-<_tMjbHffKdmGI$+cR zqYfB#z^DU89Wd&EQ3s4VVAKJl4j6U7r~^hFFzSF&2aGykt3!d+AzpO1Fm-Mi=O)V| zzh8z@aw-gUVX%G8NU!SVQ(gELQgJPd(URsl!>3zqo$8kDW!8@cEFFD_aM#ri<7Z#3 z3(8QhvRUh|WRz;(vo=1!lBkb6gFeLQqfcjjh`s-dz5m1P|1kSM%>ECv|HJJ6F#A8u z{tqwn2(;fj-frUOnz-!$t{?V)CA|NO*a8LI!{n+hZEc3U>pv{;b0sN#^GQb4#weN91h0eU>pv{;b0sN z#^GQb4#weN91h0eU>pv{;b0sN#^GQb4#weNJDdVLoOsdQnr^rBgL64|DDM9y*VYB6 za*ZmQUK=Ds|DbBEs~t|M|7+`oo+j&;6L$QyFJ)|9<7;cz247Q6*zx^ZipECc)%yDS zqods&^dLqLeLCwwY)2E@(O?`6#?fFL4aU)691X_NU>pq|9L;fYG{?o!92ZA(JUE&X zb~JHxG$p$H%*D+_@!)7{;_~kMcpV4Fa{uz~J1&10s5m;B6Zr-YSCkyBzQoP^ujlsv z=Em_bLGcZ8v_73DD7K@C?PxHL2IFWjjt1jsFpdV}XfTcj<7hCB2IFWjjt1jsFpdV} zXfTcj<7hCB2IFWjjt1jsFpdV}Xs{hkfgMe}=x)mc=||$+G(NMHTw52MsjpFq$Ln1F zNSl5bxpKj-6xWV6TGF`7+SZG1x@=EZKeMrP^qI|FS38WKeYGxln|jp`U`n;`SsNc< zNp!e-f~P}fa7qM+qj+#Q$Hl=86bFZM|8ny>E)J)7-Y$sGY^vC7FR^mCd&309H_GAq zbe^Et4kxz5!8ja@!@)QljKje=9E`)kI2??_!8ja@!@)QljKje=9E`)kI2??_!8ja@ z!@)QljKje=9E`)kb~pugIPpUNhI1LBbLnf1{L2emiQK3s&~CV=u2$Mu#pv?-OKeK2 zFR?jEK571m*Ep72f8Dk7UH#IlU*}x4eDq6ftqQ-}B(A>1R;vCIdtIt_L#motloGzg zzAwm0jGX#(mXp|qD7GQO7$S@z!WbfqA;K6Uj3L4pB0LzP<6?-8iy=BLhUoZ(d>`mb zY$a@n;%JCU1h=7hc*EAj#UOpWj)T9sf4L_e7eiDW4bh2w_1wiuL_>5UJo23g6BOSf zL+sOef?`il>`lc4--_v6BNe@DiJ0~ z@i4(PahaHp*KwGj`9n_*PA@Pv;4WJwdT2 z2s1&L3BpVeW`Zyigqa}B1mR(Vj>`lcmkBy96LdUGPzg^^94Dwmm>|W&1lPo6Vm@BS zVS?^o2IRO*Q1QIGrY?vRRK;d@O_`t*VS*2Y35svi1p9QJpx6@>dx9_%gqa}B1Ysr! zGeMXM!b}hzCg`|K&~cfd<1#_V!vvM^1jTWJN`whgJWOy+Tqfq@bsQ$>{$)Up%LEnA zyTf%soS-T;yTfIIPJ{_Q7$zuQXo7t@Pf+X$iakM?3BpVeW`Zyigqa}B1Ysr!4-<4; zCg`|K&~cfd<6(kIc!J_MK_$WjDIO-cCN2~6@j4C@bpJ9S$7OG#m6IQiTQXPhY7lWnTO*tLB;d#Xk8FBsEW<*XqliBVS*2b z35ri>f_*wqQ0xhcJwccW!b}imf-n<=nIOyrVI~L<6LefA=(tSKahagwVS-9{g5o$q zCBg(L9wxXZE)(C=>iiZiViOa-% zypF>J-Mf{up?D&Yx=;{=rm6Qp>U;F`Eh%*X3EOwj$yfEsyP!V(^76pq>;40IGCfZE1 z(Y4M@l{7`@LR@rF`T&A&;L4?-Z!n&F?o18g;DsdT{?6zB<|Z@svY0*!@BwJy&sW8C z=GXU24-}j{4)|yP+a6wp-F#;J)?#C&@uId=zm1K>=eeL0zG-dvvzP)n7_+0Tpc$;T z+Mu`$y&sHZpl0c{&^H;*NCuZFNw6%z^Aenw-~|a@lwd`G`M_U&wp?2#^|3TPXx5fRZMEXgjA4M93qBfC+BJGQGAkuA-?u^pAbiNTSd`LHGFdpAC%ME>8z4oZ@EG4C{EX-*>ZQ3ZT#WRBq|CUmC zKj8pM+4z`*fXd>0JT2h8!~)#YPP~%<96PjfXjcjhG)q?5Frs=Cx3GglbEVzf3 zZhEkl)HhhyHx}1Fk!-ULsdj02-H`(#8}Lzo-`>XRJg0yp8pG1w!_IqmY^fpiYlCJ=v?x>8J9^mwlfsg|4*1ww#t^Aa-|CTL6Gx% Nw&icyDV(AQ#a}BYWd;BM diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/sign@extension.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/sign@extension.sdb deleted file mode 100644 index fcedecb5ed5fbbd46a92c969e2ce9e9c4367a9d4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1302 zcma)+L2J}N6oB7s7HSu3OIc_Yb(5`!wnDuK9=yCoLpRlK1o0}NgC(1K7kVmn!4}uu zgC5jVvZ>m35sG+Gq4Wm`{sT`Q1^ox>o5_y5F7Mz#l9w;AQfi2bf*+Da2p{W)7x5X)gTK5R>*YW%Ba1p@5Ym_)ki89Rj@v&yJsD2vt?0Hs0bN7d^aIvoi5W)t0L9M2lasu9^r#hkn zylrl@O8cM3f?=gTD7@g; zn^-XP`}^38p-%NE_`CP*D_l~$-J#x_&u4Nlqc3aEtbh3AGf17y044$Nh z-CMP)cC_T!QQLKDo7GCxylz`1r(Qz_>0Y;Ft<;=YwEbBt7aNtUSiNCY>!sSssAIWq zZPQta+Ky#kiDvo+)K5C+@`pwj(UCYl(3rr3x~t&N-sc}`NT~>1#{3z~2j8xi6o6WL zg}o<&9v>(?C3@XQpT+Ws>6FXGa?x@+vxAgP9F;vsSxQ=N#o6xc9inW}Eta*}82eh~ zTFEJ{^RVxHQ$dI5^F}7XKSI!T9EhXISlirG8B1+Bu?^apFEvU zk!OT?mKU1j1$puuiabM+XGr2CpHc9oeT3+Mi##LDv%JtGFUXVUP~;hkJVO#E`C*Ya zy5Ir!^D7_@@;1yFRK_m$BL>{B(f|sc36f&ZeYGS%rcC9tjI`3GOLWR8BZir>CCXA T-$}>pO*3k5m;va=-% diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/top.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/top.sdb deleted file mode 100644 index 8e3997639677b6b594052fc0d7202392ce64de84..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3120 zcmZ`+Pfr_H5Pxg0V?$z~K-xoFFg76u5==tc&`3znBCfETm`YWpHYda;6_9>{o@~|$ z8`XbQsfQl3Z{5lmRpQW74-p@rQolh@J+^AU!FFbz*ZaJ@?g3_gzu(Nf*`1x0r@x+$ zrx<(9;NpLNGaonq{(bFpgUw!J{NFdf{mSpL^Ko-xyHq`@9u_|-S9rDbRlL*k-s3UG zF2*u`SJcFD@`dxhvZX?L74*7Lky=GaNy1v|L4umf3P{m-sSg!zK-;Z zlRD#^jqO9|RD6ghJjZoJlzAH>67_c1{T7*NC1%L|;Dqqpc0{m3MXz_qHtwZKL8~ zzXT5l6;*I!dmzVI`x52Q@()4F=HLOL@(UngqWcYDcWWmdw_(HaFJGpS;5m@v$(Wx} z>~+Pyqu6&9d&4whe_lE8%t;O$wE)JRsR)!nSKsUY>9l^G@K4S3W9PPdUyK zB_U#PM6#(3yXJ^6k<_fx0YAk=SE-O3E6PHsUkDYG_zF{&OR6;%JZzlYuvzl_b;?2Z zaHy$-q(2I2xh)kg8{zs2mm}^86@-mR40--y$jF_ka5qAZwx&WYg_N>V6ajoC=z{rQ zf%(KQ0%Jxjv%vWGF&J6|akV3u%`yH<0OIy$_$|923zG0hfy0Y|6%Wmz&f<+FnZ~%v zx!cH;9|#nDAO*BhrGU&a;ndr`*0Ez#nh&LFJ1k44{EA?j`%*xgL#81! z@%}7+bWyP>75EK-F7f1KPFdyWC9CqRSlvG;Rf-RvlNfq5l9`2U4#)5>_$`ohJDIfG L&cygM{8so6&aL88 diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/vgadisplaydriver.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/vgadisplaydriver.sdb deleted file mode 100644 index cd4aee2635634d4ccf52b25a1febc7166a84b0a1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3295 zcma)9O>Y}j6nzuhjg!=glXgdGoG-^o(^McNPzutsf>CXVi-g#86>1f=DSISVc5IS~ zYA51j!IGH?D0PIC1uKxM{s1KY0V|du`VY*#=gy4ZxDN?0l5@|yALrh8XPnG^HlNBW z^}V8x{_~6ZRQm7VH$QgN)yqo1c=p>b`VDn4nV#OR)c5L-%I{Zex?cG-->5#WG-?mZ z_4^Miweq`q`rfqf_B($2w0U^qb=u9Ney-(vIWO>gC*4l2@Q&xU z{Iec0L{A4T_n_y`=c3=ecC+8vBJX3jd)De*$@y*&^iKSPoaejVTe;%wjM}BW)cg!6 zMMZB>b>?1LbaEia3#I>g_R}Bgx>7UxP4dl?Z|cj#meN`seNH*@De$wzXREc{?;7Rz z?(pFg<8Y1+Gp*xO?+KrLZ9D0Yo?PKVZP#c18zX}G%(3hGN4Ar6^-+C$^x!ImOg3t@ zukKc>jXMuVk6)o<=Uh=Q`$nB>%$|<2*NL6#x$RD$ZYgLr`TQV^%F}rGJmb6FPM|QB zO|mCRQ~B4%Zhe;qlPq$Jk6GFM^3Gm`ge%oDvb|2n>7DA%wlY3x=aOCuOQ}J@z>5Z6 zGVr>AO9oz3D(#Gc;7V$6)4&^HNhhP%!+TfCaSBR*J4U;~9N)RpA4P})^aqilCt@_t z3s;WJ><~IbD8(FS!AQ?rLnX%+mz4fFs>#r~=i!_Jc%Y+?*G|uUeK8~|0rJX6AqGY^q6u^T6)GnDs z@bH9S1%hG)FcS*Ip+Ff81t3KMASY%8rLc&C0$%P=0idZs2v#5{Rsb`hKpb*(H--X` zq5u#ANWogHV2g6|gF;d9B4-=boys14!9wi~rEil{5l$>$S3?sK;0LSNF<^a(ld)zo zU!4tei)Tsm9RerxgUN^5htXM%9-1S`*8Weja#XK`dGl+4a`KG>n)4Qd%L$4f7nql^ z$$&Cbz-Xo$@l1KI_-zta0W_-!!Bqssw+b^c6>(6>%?2q703!uAV+DL+uO%;xO735uX#`L zViYZa6J57^((DGEeJtvxEb3OWZ#H#_qQRpPpusYpabdX-ki4t_G1X;Ff2M|^JTP(3 zN19;{)}a~LUTVWuYHAooHI&-WA4UbzeBT2+cDIw=u!(NKqZ^>X3Q7pe-2h29faqog z-G~!+BPi|$X4nm|y_9q#v2m$!bOVybhuw%h?B?xcH*BIC@aP6;P((MvatR>m1`yp8 z(TzB9H-h4BV20fQ+e=9|68oQ}dB=q@JxBlA F{0p9{m8Jjy diff --git a/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/vgatimer.sdb b/Project.sim/sim_1/behav/xsim.dir/xil_defaultlib/vgatimer.sdb deleted file mode 100644 index 4d4f2aad6801b465c323898045ed39b960ec4384..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2968 zcmbtWO>Y}j6n$emP2y%!Cjp6~LdJ>hrbU7*kU&L6H-c28l(Z7CXeFddQ6iDDM`9H> zZsUkJk$??5X2u}b5mFbdx~TjEkoX6zSR%nc816lH=6P(Mpoo!@+;i^NyXW1BJ^$Ha zamtwQ3_tYS7mLNx@4vkIv1gu}G4$utpP$g{=5e_+`_B62?&ha!?{8eE&GntBt&I=X zch}y%cYzAV45ovm8|_8+TL;EN9sWHSOCjNk`*EllOJf-*Q+1KcE^@_1UUrd}T;wY* zvgRPmQFwoQYi*0iPe+r3mP02SJK3I-?K{~=PWIHvcAe}GPWF2z8^zAqoNVl5drr3R zWFI-%({c7D>znXHw|SHL;cV1CYQ=kpeZLunei%oclcSa&Tn*dJsMleJ>Djp1e%Of? z{p@dNf3M%V!qLa=qh7PK;79E^?wmvq{V-~WZ~Be7b7mm>u0P!DHSQ{$U2Jyp!ag?i z$I~BwH7^@erZ+gI!ZF1M2Tem{4nODoN)h}V^ApYEZuq5mzIJ)~F3;Icd%x9h_gisu zZ`?o6HN8_|zsl8H5VkwczQF8seBA3aui|vZ?BC|oT`S>q7uY*<`ty~BFR$2SEA)K#w0<`Udh?DoLxsA*D2BE zR8$6DVCb6y3oo1q%3QI!v~1|7j1@@?eaL=T96>iSK-1Qm>M;bJ+xK` zijsO~f`7>*Aaj0p^5c26Tx-!?Tf<^MS}QHB)v~PtM_Oxu&$HxOgVfeA*~yRR)wQ)Z zMIUi(l^TP?q#d3xJ%JK32CUJ$L9K>{)7u<#9%JyO8RA<|DE;VshUgPfPc9U(Nx+Er zfAAu1L_M&RhJoe)bSBG6eRM0!DU{fi78q zu1pIx&;_=KE@&d#oNFQw`QVckG=V*AqELp`paw2iS& zA(~Umxh6*=v9mIzCfaiWuHuO5xhsPWJNVDs0n0H={9pZt2gPwL^k~(N@VuN%77*u7 zS7RK@KGsKd76_feCri*7HpW)V>a5V}tU2{uq@7bEt!Wc;4LH-dJq4&!Y)&&}aFr z#?!{q$|@LAh&~UFPvHO&ZYT- z(O77IT}zu6`#kqN}=vKT+&2?s{^Ijb*cG0amL+9!Ms~NCUny zX$Q<$EVE36NqM|OQDx0@4ZzzS(ATkgDTF6OwOH12|$B!fdM*`RIf_1#r89j8}Mi@-VlM59LcwWd|rXr zILKlS-9)HOqJCDkF1C6l3R}`t>~6tWkf!t@O-&r7MyZHsv3+`7xlTaNq$6j<_Gzv3 z({mt>2vpYKsX(uCJ9>j8sVlb9t8nTNt6~3}tX@e*sp?v5_k@P1&qmaX%@guc#leoq kASP(AUg}r!(0x;NREY`j&OPzG;~_M8CiP0IjE}MgRZ+ diff --git a/Project.sim/sim_1/behav/xsim.dir/xsim.svtype b/Project.sim/sim_1/behav/xsim.dir/xsim.svtype deleted file mode 100644 index 5142c798fef8e9d0c125e588c5b73fa4ec2baab0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8 Kcmd;JfB*mhNB{`{ diff --git a/Project.sim/sim_1/behav/xvlog.pb b/Project.sim/sim_1/behav/xvlog.pb deleted file mode 100644 index 28b86aa0b77c173da29a1256bd1f9d1668b090af..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11525 zcmds--)|d55XW)sICLwDMIWHy*AZxu3eDy0G(Y-8MIuotYKucsVN{Ou-JZS4-R-e^ z=h{5-Lm+tIALSVV;@7MlyLFvbbIqP?_sN!h-kJS)c4ubyOKy`7c4$IH$RZZC43~#7 z3!H@U$l$`G!r;E~$VPTN_u;NfxH?7#EGD_1d-C`dYR9LR{*WDhuTA z0=aTCa)RDBYQW2~|5Ib-vRpA(lyD*}e3+xj&!1Kvx8cWvNwQ6;v1^^bj zivZw|Ty#eB54o2HbTHXs&9cVl`X)Iya^RGq%P=(jW;F62d7@?HKrdR&SnGJKm&jt@ zI0ETEc_NKSLoZUbJRPCdoxX6rhv)hhArC!3!2L5%xJTqrFI)&2nKt!--N%DnCgp*3 z1k}IsgnCTw>4gg0ICGsqAJxzBR3Vd`n1AlD$~N;M^-T-wiFpLp-}8*t7TMPutxk~Y zLwXk|>2FI34|(QY@Pk%X#pRcfoV8hE}i z?J+M9q`%9bwD$6#+jDsuseM5ovUn3=D9KrSb{Nt9B zFZ54lqZcTmO=T<0;X zc~0WQPSV!6^JdFxLkFHyzHY$XV~=$-^8E^*+1@7?hYm0}Qnqi?ZcnZwP|xEg?9nDP z8?M$omu(Xsd4M4NbN;17N*ukV1XQ3NlX2kmJi*|4L}O6j#|QO$r1H2U2;TFU^q}3C zUP-KsBe?#Tf2*PWU>#<5>yF0Y&2|&iw~in?j}aTPSZV~DtxQwK5nSi%1UTXGv`&D* zGy><0_rxrG?1FvIX1A!~u^I+DK8f{uWyVCHQ+pOw_d+fw_|tGWHrs5ccpNO z*-NBoZERK+CcoM$d{k&C^$1J^)Fb6?8FVSX>Hq;P&Hx0YRr`Tp2~{2Cqz6-FvV~^y ziw-95l9vZe>eTUe0EabWJhst1^u`F>Q_O0NY_6f1z&+L3H;}i<`QZiv$zrzRW79&j z(7RsLHGmDm7cpZzs%RcR=!gwa0S;nAP;bUa)X*gIE11;!<8b_;vW=3I#)5VjPgT

siW1 v7MdX6g|fd%+fK)91x<}|uWT);vOT$i`jyb5I@$|_>_(nZr!HumD~107^{t{b diff --git a/Project.sim/sim_2/behav/Project_screentest_nopause.sv b/Project.sim/sim_2/behav/Project_screentest_nopause.sv deleted file mode 100644 index b2a85e0..0000000 --- a/Project.sim/sim_2/behav/Project_screentest_nopause.sv +++ /dev/null @@ -1,308 +0,0 @@ -`timescale 1ns / 1ps -////////////////////////////////////////////////////////////////////////////////// -// -// Montek Singh -// 4/15/2015 -// -// PLEASE README! -// ============== -// -// This is a self-checking tester for your full MIPS processor -// plus memory-mapped IO. -// -// Use this tester carefully! The names of your top-level input/output -// and internal signals may be different, so modify all of signal names on the -// right-hand-side of the "wire" assigments appearing above the uut -// instantiation. Observe that the uut itself only has clock and reset inputs -// now, and no debug outputs. Also, the parameters specifying the names of the -// memory initialization files must match the actual file names. -// -// If you decide not to use some of these internal signals for debugging, you -// may comment the relevant lines out. Be sure to comment out the -// corresponding "ERROR_*" lines below as well. -// -// Finally, note that in my bitmap memory, each 12-bit color is encoded as -// RRRRGGGGBBBB (i.e., red is most significant). If you have chosen a different -// order for the red/green/blue color values, you may see ERROR signals for the -// colors light up, but there is no error if you are consistent with your -// RGB ordering. -// -////////////////////////////////////////////////////////////////////////////////// - - -module project_screentest; - - // Inputs - reg clk; - reg reset; - - // Signals inside top-level module uut - wire [31:0] pc =uut.pc; // PC - wire [31:0] instr =uut.instr; // instr coming out of instr mem - wire [31:0] mem_addr =uut.mem_addr; // addr sent to data mem - wire mem_wr =uut.mem_wr; // write enable for data mem - wire [31:0] mem_readdata =uut.mem_readdata; // data read from data mem - wire [31:0] mem_writedata =uut.mem_writedata; // write data for data mem - - // Signals inside module uut.mips - wire werf =uut.mips.werf; // WERF = write enable for register file - wire [4:0] alufn =uut.mips.alufn; // ALU function - wire Z =uut.mips.Z; // Zero flag - - // Signals inside module uut.mips.dp (datapath) - wire [31:0] ReadData1 =uut.mips.dp.ReadData1; // Reg[rs] - wire [31:0] ReadData2 =uut.mips.dp.ReadData2; // Reg[rt] - wire [31:0] alu_result =uut.mips.dp.alu_result; // ALU's output - wire [4:0] reg_writeaddr =uut.mips.dp.reg_writeaddr; // destination register - wire [31:0] reg_writedata =uut.mips.dp.reg_writedata; // write data for register file - wire [31:0] signImm =uut.mips.dp.signImm; // sign-/zero-extended immediate - wire [31:0] aluA =uut.mips.dp.aluA; // operand A for ALU - wire [31:0] aluB =uut.mips.dp.aluB; // operand B for ALU - - // Signals inside module uut.mips.c (controller) - wire [1:0] pcsel =uut.mips.c.pcsel; - wire [1:0] wasel =uut.mips.c.wasel; - wire sext =uut.mips.c.sext; - wire bsel =uut.mips.c.bsel; - wire [1:0] wdsel =uut.mips.c.wdsel; - wire wr =uut.mips.c.wr; - wire [1:0] asel =uut.mips.c.asel; - - // Signals related to module memIO (memory + memory-mapped IO) - wire [10:0] smem_addr =uut.smem_addr; // address from vgadisplaydriver to access screen mem - wire [3:0] charcode =uut.charcode; // character code returned by screen mem - wire dmem_wr =uut.io.dmem_wr; - wire smem_wr =uut.io.smem_wr; - - // Signals related to module vgadisplaydriver (display driver) - wire hsync =uut.hsync; - wire vsync =uut.vsync; - wire [3:0] red =uut.red; - wire [3:0] green =uut.green; - wire [3:0] blue =uut.blue; - wire [9:0] x =uut.displaydriver.x; - wire [9:0] y =uut.displaydriver.y; - wire [11:0] bmem_addr =uut.displaydriver.bmem_addr; - wire [11:0] bmem_color =uut.displaydriver.color; - - - // Instantiate the Unit Under Test (UUT) - top uut( - .clk(clk), - .reset(reset) - ); - -// -// CHECK ALL VALUES ABOVE THIS LINE -// YOU SHOULD NOT NEED TO MODIFY ANYTHING BELOW -// - - initial begin - // Initialize Inputs - clk = 0; - reset = 0; - end - - initial begin - #0.5 clk = 0; - forever - #0.5 clk = ~clk; - end - - initial begin - #50 $finish; - end - - - - // SELF-CHECKING CODE - - selfcheck c(); - - wire [31:0] c_pc=c.pc; - wire [31:0] c_instr=c.instr; - wire [31:0] c_mem_addr=c.mem_addr; - wire c_mem_wr=c.mem_wr; - wire [31:0] c_mem_readdata=c.mem_readdata; - wire [31:0] c_mem_writedata=c.mem_writedata; - wire c_werf=c.werf; - wire [4:0] c_alufn=c.alufn; - wire c_Z=c.Z; - wire [31:0] c_ReadData1=c.ReadData1; - wire [31:0] c_ReadData2=c.ReadData2; - wire [31:0] c_alu_result=c.alu_result; - wire [4:0] c_reg_writeaddr=c.reg_writeaddr; - wire [31:0] c_reg_writedata=c.reg_writedata; - wire [31:0] c_signImm=c.signImm; - wire [31:0] c_aluA=c.aluA; - wire [31:0] c_aluB=c.aluB; - wire [1:0] c_pcsel=c.pcsel; - wire [1:0] c_wasel=c.wasel; - wire c_sext=c.sext; - wire c_bsel=c.bsel; - wire [1:0] c_wdsel=c.wdsel; - wire c_wr=c.wr; - wire [1:0] c_asel=c.asel; - wire [10:0] c_smem_addr=c.smem_addr; - wire [3:0] c_charcode=c.charcode; - wire c_dmem_wr=c.dmem_wr; - wire c_smem_wr=c.smem_wr; - wire c_hsync=c.hsync; - wire c_vsync=c.vsync; - wire [3:0] c_red=c.red; - wire [3:0] c_green=c.green; - wire [3:0] c_blue=c.blue; - wire [9:0] c_x=c.x; - wire [9:0] c_y=c.x; - wire [11:0] c_bmem_addr=c.bmem_addr; - wire [11:0] c_bmem_color=c.bmem_color; - - - function mismatch; // some trickery needed to match two values with don't cares - input p, q; // mismatch in a bit position is ignored if q has an 'x' in that bit - integer p, q; - mismatch = (((p ^ q) ^ q) !== q); - endfunction - - wire ERROR = ERROR_pc | ERROR_instr | ERROR_mem_addr | ERROR_mem_wr | ERROR_mem_readdata - | ERROR_mem_writedata | ERROR_werf | ERROR_alufn | ERROR_Z - | ERROR_ReadData1 | ERROR_ReadData2 | ERROR_alu_result | ERROR_reg_writeaddr - | ERROR_reg_writedata | ERROR_signImm | ERROR_aluA | ERROR_aluB - | ERROR_pcsel | ERROR_wasel | ERROR_sext | ERROR_bsel | ERROR_wdsel | ERROR_wr | ERROR_asel - | ERROR_smem_addr | ERROR_charcode | ERROR_dmem_wr | ERROR_smem_wr | ERROR_hsync | ERROR_vsync - | ERROR_red | ERROR_green | ERROR_blue | ERROR_x | ERROR_y | ERROR_bmem_addr | ERROR_bmem_color; - - - wire ERROR_pc = mismatch(pc, c.pc) ? 1'bx : 1'b0; - wire ERROR_instr = mismatch(instr, c.instr) ? 1'bx : 1'b0; - wire ERROR_mem_addr = mismatch(mem_addr, c.mem_addr) ? 1'bx : 1'b0; - wire ERROR_mem_wr = mismatch(mem_wr, c.mem_wr) ? 1'bx : 1'b0; - wire ERROR_mem_readdata = mismatch(mem_readdata, c.mem_readdata) ? 1'bx : 1'b0; - wire ERROR_mem_writedata = c.mem_wr & (mismatch(mem_writedata, c.mem_writedata) ? 1'bx : 1'b0); - wire ERROR_werf = mismatch(werf, c.werf) ? 1'bx : 1'b0; - wire ERROR_alufn = mismatch(alufn, c.alufn) ? 1'bx : 1'b0; - wire ERROR_Z = mismatch(Z, c.Z) ? 1'bx : 1'b0; - wire ERROR_ReadData1 = mismatch(ReadData1, c.ReadData1) ? 1'bx : 1'b0; - wire ERROR_ReadData2 = mismatch(ReadData2, c.ReadData2) ? 1'bx : 1'b0; - wire ERROR_alu_result = mismatch(alu_result, c.alu_result) ? 1'bx : 1'b0; - wire ERROR_reg_writeaddr = c.werf & (mismatch(reg_writeaddr, c.reg_writeaddr) ? 1'bx : 1'b0); - wire ERROR_reg_writedata = c.werf & (mismatch(reg_writedata, c.reg_writedata) ? 1'bx : 1'b0); - wire ERROR_signImm = mismatch(signImm, c.signImm) ? 1'bx : 1'b0; - wire ERROR_aluA = mismatch(aluA, c.aluA) ? 1'bx : 1'b0; - wire ERROR_aluB = mismatch(aluB, c.aluB) ? 1'bx : 1'b0; - wire ERROR_pcsel = mismatch(pcsel, c.pcsel) ? 1'bx : 1'b0; - wire ERROR_wasel = c.werf & (mismatch(wasel, c.wasel) ? 1'bx : 1'b0); - wire ERROR_sext = mismatch(sext, c.sext) ? 1'bx : 1'b0; - wire ERROR_bsel = mismatch(bsel, c.bsel) ? 1'bx : 1'b0; - wire ERROR_wdsel = mismatch(wdsel, c.wdsel) ? 1'bx : 1'b0; - wire ERROR_wr = mismatch(wr, c.wr) ? 1'bx : 1'b0; - wire ERROR_asel = mismatch(asel, c.asel) ? 1'bx : 1'b0; - wire ERROR_smem_addr = mismatch(smem_addr, c.smem_addr) ? 1'bx : 1'b0; - wire ERROR_charcode = mismatch(charcode, c.charcode) ? 1'bx : 1'b0; - wire ERROR_dmem_wr = mismatch(dmem_wr, c.dmem_wr) ? 1'bx : 1'b0; - wire ERROR_smem_wr = mismatch(smem_wr, c.smem_wr) ? 1'bx : 1'b0; - wire ERROR_hsync = mismatch(hsync, c.hsync) ? 1'bx : 1'b0; - wire ERROR_vsync = mismatch(vsync, c.vsync) ? 1'bx : 1'b0; - wire ERROR_red = mismatch(red, c.red) ? 1'bx : 1'b0; - wire ERROR_green = mismatch(green, c.green) ? 1'bx : 1'b0; - wire ERROR_blue = mismatch(blue, c.blue) ? 1'bx : 1'b0; - wire ERROR_x = mismatch(x, c.x) ? 1'bx : 1'b0; - wire ERROR_y = mismatch(y, c.y) ? 1'bx : 1'b0; - wire ERROR_bmem_addr = mismatch(bmem_addr, c.bmem_addr) ? 1'bx : 1'b0; - wire ERROR_bmem_color = mismatch(bmem_color, c.bmem_color) ? 1'bx : 1'b0; - - //initial begin - // $monitor("#%02d {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h%h, 32'h%h, 32'h%h, 1'b%b, 32'h%h, 32'h%h, 1'b%b, 5'b%b, 1'b%b, 32'h%h, 32'h%h, 32'h%h, 5'h%h, 32'h%h, 32'h%h, 32'h%h, 32'h%h, 2'b%b, 2'b%b, 1'b%b, 1'b%b, 2'b%b, 1'b%b, 2'b%b};", - // $time, pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel); - // $monitor("#%02d {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h%h, 4'h%h, 1'b%b, 1'b%b, 1'b%b, 1'b%b, 4'h%h, 4'h%h, 4'h%h, 10'h%h, 10'h%h, 12'h%h, 12'h%h};", - // $time, smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color); - //end - -endmodule - - - -// CHECKER MODULE -module selfcheck(); - reg [31:0] pc; - reg [31:0] instr; - reg [31:0] mem_addr; - reg mem_wr; - reg [31:0] mem_readdata; - reg [31:0] mem_writedata; - reg werf; - reg [4:0] alufn; - reg Z; - reg [31:0] ReadData1; - reg [31:0] ReadData2; - reg [31:0] alu_result; - reg [4:0] reg_writeaddr; - reg [31:0] reg_writedata; - reg [31:0] signImm; - reg [31:0] aluA; - reg [31:0] aluB; - reg [1:0] pcsel; - reg [1:0] wasel; - reg sext; - reg bsel; - reg [1:0] wdsel; - reg wr; - reg [1:0] asel; - reg [10:0] smem_addr; - reg [3:0] charcode; - reg dmem_wr; - reg smem_wr; - reg hsync; - reg vsync; - reg [3:0] red; - reg [3:0] green; - reg [3:0] blue; - reg [9:0] x; - reg [9:0] y; - reg [11:0] bmem_addr; - reg [11:0] bmem_color; - -initial begin -fork - -#00 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000000, 32'h00000020, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'b0xx01, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'h00, 32'h00000000, 32'h00000020, 32'h00000000, 32'h00000000, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b00}; -#00 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h000, 10'h000, 12'h000, 12'hf00}; -#01 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000004, 32'h201d203c, 32'h0000203c, 1'b0, 32'hxxxxxxxx, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'hxxxxxxxx, 32'h0000203c, 5'h1d, 32'h0000203c, 32'h0000203c, 32'h00000000, 32'h0000203c, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#02 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000008, 32'h20040000, 32'h00000000, 1'b0, 32'h00000000, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b1, 32'h00000000, 32'hxxxxxxxx, 32'h00000000, 5'h04, 32'h00000000, 32'h00000000, 32'h00000000, 32'h00000000, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#03 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000000c, 32'h0c000009, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b1, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'h1f, 32'h00000010, 32'h00000009, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'b10, 1'bx, 1'bx, 2'b00, 1'b0, 2'bxx}; -#04 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000024, 32'h23bdfff8, 32'h00002034, 1'b0, 32'hxxxxxxxx, 32'h0000203c, 1'b1, 5'b0xx01, 1'b0, 32'h0000203c, 32'h0000203c, 32'h00002034, 5'h1d, 32'h00002034, 32'hfffffff8, 32'h0000203c, 32'hfffffff8, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#04 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h001, 10'h000, 12'h001, 12'hf00}; -#05 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000028, 32'hafbf0004, 32'h00002038, 1'b1, 32'hxxxxxxxx, 32'h00000010, 1'b0, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000010, 32'h00002038, 5'hxx, 32'hxxxxxxxx, 32'h00000004, 32'h00002034, 32'h00000004, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#05 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b1, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h001, 10'h000, 12'h001, 12'hf00}; -#06 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000002c, 32'hafa40000, 32'h00002034, 1'b1, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000000, 32'h00002034, 5'hxx, 32'hxxxxxxxx, 32'h00000000, 32'h00002034, 32'h00000000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#07 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000030, 32'h00042400, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'bx0010, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'h04, 32'h00000000, 32'h00002400, 32'h00000010, 32'h00000000, 2'b00, 2'b00, 1'bx, 1'b0, 2'b01, 1'b0, 2'b01}; -#07 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h001, 10'h000, 12'h001, 12'hf00}; -#08 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h002, 10'h000, 12'h002, 12'hf00}; -#08 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000034, 32'h10800002, 32'h00000000, 1'b0, 32'h00000000, 32'h00000000, 1'b0, 5'b1xx01, 1'b1, 32'h00000000, 32'h00000000, 32'h00000000, 5'hxx, 32'hxxxxxxxx, 32'h00000002, 32'h00000000, 32'h00000000, 2'b01, 2'bxx, 1'b1, 1'b0, 2'bxx, 1'b0, 2'b00}; -#09 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000040, 32'h8fa40000, 32'h00002034, 1'b0, 32'h00000000, 32'h00000000, 1'b1, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000000, 32'h00002034, 5'h04, 32'h00000000, 32'h00000000, 32'h00002034, 32'h00000000, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#10 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000044, 32'h8fbf0004, 32'h00002038, 1'b0, 32'h00000010, 32'h00000010, 1'b1, 5'b0xx01, 1'b0, 32'h00002034, 32'h00000010, 32'h00002038, 5'h1f, 32'h00000010, 32'h00000004, 32'h00002034, 32'h00000004, 2'b00, 2'b01, 1'b1, 1'b1, 2'b10, 1'b0, 2'b00}; -#11 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000048, 32'h23bd0008, 32'h0000203c, 1'b0, 32'hxxxxxxxx, 32'h00002034, 1'b1, 5'b0xx01, 1'b0, 32'h00002034, 32'h00002034, 32'h0000203c, 5'h1d, 32'h0000203c, 32'h00000008, 32'h00002034, 32'h00000008, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#12 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000004c, 32'h03e00008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000010, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b11, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#12 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b0, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h003, 10'h000, 12'h003, 12'hf00}; -#13 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000010, 32'h20080002, 32'h00000002, 1'b0, 32'h00000000, 32'hxxxxxxxx, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'hxxxxxxxx, 32'h00000002, 5'h08, 32'h00000002, 32'h00000002, 32'h00000000, 32'h00000002, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#14 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000014, 32'hac084000, 32'h00004000, 1'b1, 32'h00000000, 32'h00000002, 1'b0, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000002, 32'h00004000, 5'hxx, 32'hxxxxxxxx, 32'h00004000, 32'h00000000, 32'h00004000, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#14 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h0, 1'b0, 1'b1, 1'b1, 1'b1, 4'hf, 4'h0, 4'h0, 10'h003, 10'h000, 12'h003, 12'hf00}; -#15 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000018, 32'h20080003, 32'h00000003, 1'b0, 32'h00000000, 32'h00000002, 1'b1, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000002, 32'h00000003, 5'h08, 32'h00000003, 32'h00000003, 32'h00000000, 32'h00000003, 2'b00, 2'b01, 1'b1, 1'b1, 2'b01, 1'b0, 2'b00}; -#15 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h003, 10'h000, 12'h203, 12'h00f}; -#16 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h0000001c, 32'hac084001, 32'h00004001, 1'b1, 32'h00000001, 32'h00000003, 1'b0, 5'b0xx01, 1'b0, 32'h00000000, 32'h00000003, 32'h00004001, 5'hxx, 32'hxxxxxxxx, 32'h00004001, 32'h00000000, 32'h00004001, 2'b00, 2'bxx, 1'b1, 1'b1, 2'bxx, 1'b1, 2'b00}; -#16 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b1, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h004, 10'h000, 12'h204, 12'h00f}; -#17 {pc, instr, mem_addr, mem_wr, mem_readdata, mem_writedata, werf, alufn, Z, ReadData1, ReadData2, alu_result, reg_writeaddr, reg_writedata, signImm, aluA, aluB, pcsel, wasel, sext, bsel, wdsel, wr, asel} <= {32'h00000020, 32'h08000008, 32'hxxxxxxxx, 1'b0, 32'hxxxxxxxx, 32'h00000000, 1'b0, 5'bxxxxx, 1'bx, 32'h00000000, 32'h00000000, 32'hxxxxxxxx, 5'hxx, 32'hxxxxxxxx, 32'h00000008, 32'hxxxxxxxx, 32'h0000000X, 2'b10, 2'bxx, 1'bx, 1'bx, 2'bxx, 1'b0, 2'bxx}; -#17 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h004, 10'h000, 12'h204, 12'h00f}; -#20 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h005, 10'h000, 12'h205, 12'h00f}; -#24 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h006, 10'h000, 12'h206, 12'h00f}; -#28 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h007, 10'h000, 12'h207, 12'h00f}; -#32 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h008, 10'h000, 12'h208, 12'h00f}; -#36 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h009, 10'h000, 12'h209, 12'h00f}; -#40 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h00a, 10'h000, 12'h20a, 12'h00f}; -#44 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h00b, 10'h000, 12'h20b, 12'h00f}; -#48 {smem_addr, charcode, dmem_wr, smem_wr, hsync, vsync, red, green, blue, x, y, bmem_addr, bmem_color} <= {11'h000, 4'h2, 1'b0, 1'b0, 1'b1, 1'b1, 4'h0, 4'h0, 4'hf, 10'h00c, 10'h000, 12'h20c, 12'h00f}; - -join -end - -endmodule \ No newline at end of file diff --git a/Project.sim/sim_2/behav/bmem_init.txt b/Project.sim/sim_2/behav/bmem_init.txt deleted file mode 100644 index 4981092..0000000 --- a/Project.sim/sim_2/behav/bmem_init.txt +++ /dev/null @@ -1,1024 +0,0 @@ -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -f00 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -0f0 -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -00f -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff \ No newline at end of file diff --git a/Project.sim/sim_2/behav/compile.bat b/Project.sim/sim_2/behav/compile.bat deleted file mode 100644 index f662848..0000000 --- a/Project.sim/sim_2/behav/compile.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off -set xv_path=C:\\Xilinx\\Vivado\\2014.4\\bin -echo "xvlog -m64 -prj project_screentest_vlog.prj" -call %xv_path%/xvlog -m64 -prj project_screentest_vlog.prj -log compile.log -if "%errorlevel%"=="1" goto END -if "%errorlevel%"=="0" goto SUCCESS -:END -exit 1 -:SUCCESS -exit 0 diff --git a/Project.sim/sim_2/behav/compile.log b/Project.sim/sim_2/behav/compile.log deleted file mode 100644 index 58a1947..0000000 --- a/Project.sim/sim_2/behav/compile.log +++ /dev/null @@ -1,57 +0,0 @@ -Determining compilation order of HDL files. -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/fulladder.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module fulladder -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/adder.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module adder -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/comparator.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module comparator -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/addsub.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module addsub -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/logical.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module logical -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/shifter.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module shifter -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/alu.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module ALU -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/signExtension.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module signExtension -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/xycounter.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module xycounter -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/register_file.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module register_file -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgatimer.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module vgatimer -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/smem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module smem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/controller.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module controller -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/bitmapmem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module bitmapmem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/dmem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module dmem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module datapath -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/debouncer.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module debouncer -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/vgadisplaydriver.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module vgadisplaydriver -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/imem.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module imem -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module memIO -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/mips.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module mips -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module top -INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module project_screentest -WARNING: [VRFC 10-756] identifier ERROR_pc is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:167] -WARNING: [VRFC 10-756] identifier ERROR_mem_writedata is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:168] -WARNING: [VRFC 10-756] identifier ERROR_ReadData1 is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:169] -WARNING: [VRFC 10-756] identifier ERROR_reg_writedata is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:170] -WARNING: [VRFC 10-756] identifier ERROR_pcsel is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:171] -WARNING: [VRFC 10-756] identifier ERROR_smem_addr is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:172] -WARNING: [VRFC 10-756] identifier ERROR_red is used before its declaration [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv:173] -INFO: [VRFC 10-311] analyzing module selfcheck -INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/glbl.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module glbl diff --git a/Project.sim/sim_2/behav/dmem_init.txt b/Project.sim/sim_2/behav/dmem_init.txt deleted file mode 100644 index 72cf8de..0000000 --- a/Project.sim/sim_2/behav/dmem_init.txt +++ /dev/null @@ -1 +0,0 @@ -0 // data memory not used in this program \ No newline at end of file diff --git a/Project.sim/sim_2/behav/elaborate.bat b/Project.sim/sim_2/behav/elaborate.bat deleted file mode 100644 index b8b0057..0000000 --- a/Project.sim/sim_2/behav/elaborate.bat +++ /dev/null @@ -1,9 +0,0 @@ -@echo off -set xv_path=C:\\Xilinx\\Vivado\\2014.4\\bin -call %xv_path%/xelab -wto 5f5d7ddd32cb4c0cb289603e426c9fed -m64 --debug typical --relax -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot project_screentest_behav xil_defaultlib.project_screentest xil_defaultlib.glbl -log elaborate.log -if "%errorlevel%"=="0" goto SUCCESS -if "%errorlevel%"=="1" goto END -:END -exit 1 -:SUCCESS -exit 0 diff --git a/Project.sim/sim_2/behav/elaborate.log b/Project.sim/sim_2/behav/elaborate.log deleted file mode 100644 index 28823fa..0000000 --- a/Project.sim/sim_2/behav/elaborate.log +++ /dev/null @@ -1,39 +0,0 @@ -Vivado Simulator 2014.4 -Copyright 1986-1999, 2001-2014 Xilinx, Inc. All Rights Reserved. -Running: C:/Xilinx/Vivado/2014.4/bin/unwrapped/win64.o/xelab.exe -wto 5f5d7ddd32cb4c0cb289603e426c9fed --debug typical --relax -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot project_screentest_behav xil_defaultlib.project_screentest xil_defaultlib.glbl -log elaborate.log -Multi-threading is on. Using 2 slave threads. -Starting static elaboration -WARNING: [VRFC 10-278] actual bit length 32 differs from formal bit length 1 for port invert [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/top.v:67] -WARNING: [VRFC 10-278] actual bit length 32 differs from formal bit length 5 for port WriteAddr [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/datapath.sv:88] -WARNING: [VRFC 10-278] actual bit length 32 differs from formal bit length 4 for port writedata [C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sources_1/imports/src/memIO.sv:86] -Completed static elaboration -Starting simulation data flow analysis -Completed simulation data flow analysis -Time Resolution for simulation is 1ps -Compiling module xil_defaultlib.imem -Compiling module xil_defaultlib.debouncer -Compiling module xil_defaultlib.controller -Compiling module xil_defaultlib.register_file(Abits=5,Dbits=32,N... -Compiling module xil_defaultlib.signExtension -Compiling module xil_defaultlib.fulladder -Compiling module xil_defaultlib.adder(N=32) -Compiling module xil_defaultlib.addsub(N=32) -Compiling module xil_defaultlib.shifter(N=32) -Compiling module xil_defaultlib.logical(N=32) -Compiling module xil_defaultlib.comparator(N=32) -Compiling module xil_defaultlib.ALU(N=32) -Compiling module xil_defaultlib.datapath -Compiling module xil_defaultlib.mips -Compiling module xil_defaultlib.smem -Compiling module xil_defaultlib.dmem -Compiling module xil_defaultlib.memIO -Compiling module xil_defaultlib.xycounter(width=800,height=525) -Compiling module xil_defaultlib.vgatimer -Compiling module xil_defaultlib.bitmapmem -Compiling module xil_defaultlib.vgadisplaydriver -Compiling module xil_defaultlib.top -Compiling module xil_defaultlib.selfcheck -Compiling module xil_defaultlib.project_screentest -Compiling module xil_defaultlib.glbl -Waiting for 2 sub-compilation(s) to finish... -Built simulation snapshot project_screentest_behav diff --git a/Project.sim/sim_2/behav/glbl.v b/Project.sim/sim_2/behav/glbl.v deleted file mode 100644 index 2edbf14..0000000 --- a/Project.sim/sim_2/behav/glbl.v +++ /dev/null @@ -1,71 +0,0 @@ -// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (weak1, weak0) GSR = GSR_int; - assign (weak1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - -endmodule -`endif diff --git a/Project.sim/sim_2/behav/imem_init.txt b/Project.sim/sim_2/behav/imem_init.txt deleted file mode 100644 index 0d8b43c..0000000 --- a/Project.sim/sim_2/behav/imem_init.txt +++ /dev/null @@ -1,20 +0,0 @@ -00000020 -201d203c -20040000 -0c000009 -20080002 -ac084000 -20080003 -ac084001 -08000008 -23bdfff8 -afbf0004 -afa40000 -00042400 -10800002 -2084ffff -1480fffe -8fa40000 -8fbf0004 -23bd0008 -03e00008 \ No newline at end of file diff --git a/Project.sim/sim_2/behav/project_screentest.tcl b/Project.sim/sim_2/behav/project_screentest.tcl deleted file mode 100644 index 1094e45..0000000 --- a/Project.sim/sim_2/behav/project_screentest.tcl +++ /dev/null @@ -1,11 +0,0 @@ -set curr_wave [current_wave_config] -if { [string length $curr_wave] == 0 } { - if { [llength [get_objects]] > 0} { - add_wave / - set_property needs_save false [current_wave_config] - } else { - send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." - } -} - -run 1000ns diff --git a/Project.sim/sim_2/behav/project_screentest_behav.wdb b/Project.sim/sim_2/behav/project_screentest_behav.wdb deleted file mode 100644 index 74271854745ce50948dde3f3f21699d5f460230c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 99983 zcmeFa37n7B`~UwIMJcp>s4Q=(2${{88QWMx3Rxo5n8w7|X3UU%OGPP^ER~EFQL?pB zYLE&kqZB@EMv*OhVywT{>wRC>bmn~A_pR?=|2~h$$9cHsI`_Gr_jS&7?sK1W?)yFO z(IKT*N}qwj_Vw>+9Bfp-ZT$xI+cXY_M+7=Jye_fto4$?lS?6bN*M zwSA)o)fzvP+^1h2>133jBlmGrvCBNr3==`H?Y*rU2XAk7CngBY5P`r!%mKXJi{j*4 zpmFNVUa8$uk^(Xb1n9L8Bs>ri=osh_sDM0dGc%GBdnKkPq@Wo@fsMpmGJ^PRkP<*b z=N5!!qCq88fFGxEMjUh=d=X%FhJsGVI5=S^=bTtwPDBfmyCtWGT5-0@%E?JM{S10A zlv;@HD|&|LO`@gCTDNW6OiCQZb}k=6VzmtrYdY#KvsG%ynBm5HhM7OuoZ{w@?dzKaAOBfdN8;x0#`zcqXXUgFdB9Y z>%fg3ObytthD3WXF|c0)Y3jkm!hS8JlLx~I>tB#Q9t_7{NysPoT<2;y_uyd)M z;lZ?my&`0h2Xi;zcFuQuJeWsd=X`g@gLxEo&UaTAa=)gJ!Or=vq6aeu zcFuRTJeaYtbG~co!8{Io9Y~S~!*#SSWPk@V4tCC)<2;xrVXp_t^kANXy*}h^4`w{< z4IryLm5#(OZ6 zVdwnIR|;-(!W7s!|910WroztocZdfw4fZ=B6FivbV809UiU;#N?3~9JdoVA+&Ut*T z2QwXZ&f{A=81Cmdk0176_-w*?yf8McZhbf#cFyBBcrXiL=R6+f!7PHE^LRrK<}KJc zkGJ<=-liSW(}Q^j_70E{9?ZM2-wT=K!Mq21N62gsW-;uYAWJ=%C9rpfWP330!+sy+ z7Z2tG*zboN^%fIS&9+JpHR_6H$TJ(!iScZ1CJU_ODpJ7k#$^C|2pkPRNpXR!Bx?C@Y#!QK;c z!h=~2doM`wBJS684eY%k6+D>FVebQp@nF`%o(gI1!F&OG8YIDk`4aZNkPHuI9qj3l zF&<1deL!CDVAjLl53<06`3m;_kd+?H*RVeX+2p}&fPDaDj|cM&>;oZZz+42aNuN_# z77G~!x%^UhrXK8rA=h~@m0=$Oxy6I24*O6@oCgyP`!L9z9!w3`heHxPm@8rDo;4jz zL1-q%J0HU9#4^S{5;DMIxQ?)Y$uxwFf;?d{TqC}NkhvZ5Fl2(kARFNP{~LtN-H_3c zX$FH^Ex`Hh6od@t??)hu4F-py_gB1TWWphjLe}^&kAeB#hZzHAuMaa8%o#9+pf&0E z;aYtuemo9+#bxfyEqOz>dvdNuUEak>Xn63k@ydEJ94 z1!fAE_dJ;Ez;MsH(u27k%rr3TJ(wH7aL@Xq2U8l%^I&#+FlE5J0OqI%Qx**Otc8lY zk5#;$4!wiC(t`i5n8qH=O<-OI)7pc%8O$qS z52g8pk-URcF2NMQn9+<6QMnY@SIg|5fNeI`Wy&lXBurGj|0W%6( zllr+B1!W*yt1i3Tov8r(BFN1Uu2D>E!zYl-(AG}43hbL9FMBXO zVE;GdT`-(GHR*kEH3~R)bDmw{!Bm3%d&n0a%x$p$0NLWfRD=CT$RRNALTl3YT!Vre zAe_@rdoY~Gwm>c`?(T{l%N&Q@k0{Y^-w!(wqHQfXG!h;FI{u|^c4~ExkFXRB2HPD*0J(rWB*QiCC}b$Edr@fi^M1(X1_M(d7xJhu?B@fJe_70Nl#LUH{d^D-G#J)(0y0sU zipU>=+-5L1%o}5DPdUh8NW2GgE9}2RT6-|O2aiCK!EA-rr2WHd&U=UVKwmKI119#z zDacf`8B2PoJ?yU`27|-AHj|;BW03J+4nS+tx^Rg?4EyvYFzhEy%Ah!Oz1XMk;DG(4 zNtr8Az-!7rUFE^BJtraGfnnR3crEy>G!ufQCN$SE&fONn=b%{@bHHNwjPr)Y{9!SC zepz5KCoP80Chu6xMF`StGoL%&x0oUp!)J;tiz#L?d|p^-G1pj31njFV=6Z|a?`iRO zAIv@sS`2@8^DW7v;d%sm#<1a|(CmD$goErxsfLKxU4^MJ)P2Xm>#bhnth zz!bBX-WJmm%+(f?ZZUU*DP=JOET#>ZG8Qw`Vz@89(PBnfOnWdDE#@(c;cqkZcV5i? z8D}y4{iFzsnP@ThfvI6JlPx9zOf8Ff-e54*85#V1D;tg5U~@AGTeSn;$nOh!fQhcahyA3<&5s*n`e9#ca`WTHn0^?aCO1EBjOnM)Irwp7Og|T&gC94>^mEBM z_;F)QKZVc1j~iq9DRK^e+!)i(rRU(sjWPZ3otY-L>+Z&weu|!hA2-JI!_QVUxwXfQ zG5uU|4u0Gi(+@wR(d5=1H^%f+{2ctaF{U4W)}zU-J#LKYho1*&a`WTHn11+~ktR1k zZj9-NpDSr{^W(;te)!pwCO1EBjOpjvbMWKFn11*fmL|9MxG|=mlIP&ZjWPZ3voK9= z?QvsFKm5E*lbatm#`MF_)HJ#IabrwB{M=2Gn;$pE^uy2QG`ab4W7H4N*K@JWIcvID z7#hxwy#oFJY-rk;3ZabW(*6>J zWl$l_d|@6S(cTr}W#_v;FMFDgo$vg-&gc6GFMDMldleshRUi9pKK5`QdxVcY(#Ia{ zW3S<3kMXg``q<-q>@|JtwS4USOvUT^#{1ao`Pl3G*c`{V5;&cpv)&ANxcf z`_n%5XMF6FeC*Hq*eCngr})^X`q-!W*q`&UKks9I!N)$`$Nr*^eTI*HwvT|guX zH~84U@vvV6o7eLP?e%=l_j>;0`PF^S_jpCmJf>#) z|I5zz3w&SAI?q-=?R@X+W#{``FFW7UdfEB@)yvNJs$O=!FZHtXJ*bzR?>D{dd~fMx z=le)6JKr;U+4=s^%g*t%fG6%2c6{KAvg#rd1_@XdyWzk-Yhx)0*KgH~ok{zL>*_5KmO=eT|Ii-cWA}Q0%JXaaobUDilOaOO?WKL}Uh5Cfzscu(um0!xUh^O4O~&Wee%i11uyc+k<90snUe|~ApwIc`J?xy9 z%>KI=i-ERj59uls{_o8!B`(LTnH={nRt)vTLI+sA$}&v&eU+SxB&cJ_v8&wDHc-K+($aeFl>rAJ76W)<(G;hJNpzRKPlX6oe!#Rj)x!6}*+%)017BY30z|%yl zzvm>wJp)q-3A~hOI8xtpnjbYvIN>TjN8I7fz(bYv0H%FUNdP_Q{-Uubb}30GdYR?^`e zM618|B*VF#>6l&^>3HQnkc`fQGsQl~;yw}XCdXZ%sa%*=qSfCz$=od50kI#mxbK9! zMYw5l0q2NTf4@qmqSh-Hu!Csj_%}kCxYuSnCiWt7A(gvDxLX}8Fh}eQE$(*VDht<2 zE_4^s>aU4#RfG#IhRBzY3$9!@;i?L^Q0z-AZj5lZ3D+U$WV(n}e`AHKCR}KdN4`jT zr$D)fsdcqZv8=!<}<(?9* zzHkf0zQp3j3)eun4sxS(5v~3v2-i@!&>w?EzKGl~%1somk#KXwzR=>H7Ot^yt>lL4 zAX@!R7VdW8j_HjjHl;Ot=GLKW1@@gu6qy zX>xVOSp-0BTo~p{?-cDLbzDDk?V<8 z?hD~s3YR1Hofh|{aIJ(JD>wW^(duuVaCZwAE;oFvXyvknYc1SnvFBLadg0m#H&P~s zv7*)ASHiUwu98d);i8rMTDW$?trz=di`yXFJ;Du?31p;b_4kc%?S%`<1X4+~a^DKq zLAcdoUvF_6g}Ya{G?{1yidKL8o5V~V9Tg}g6HQRGa+`(gB;0bbueP{<3)fk=?lK{z ziB^B#33s1xCF(huQlgdnUby>(TO#)57Wad23Bq-$?_|1*R)0SV*G0G@GI5m`N?ei*OGJ*P)@4=^|SF7hSlo8ux(nA-Cd^i%)!(ner3iOG?8hu_hj2ZF znIpYe zxJu(3E?l&7^@STH+&&yk{)Ya|?pCAluE zNZ~z)XyqCU$Kgh<$ZAq}9u%$I?ZQ0<8@YvIUt)1hgyS$G*I^BQAaxO~{+bFm7B+IB zKZFHU(x4Cfaesage9{K}XI9BdX z;mA;Cet{oIb3`k5mvHQUa!tP^h5AJ+*Fw1Qu#r0;_G1>;Qn(4iOl6hXZ)nZ?7aiKrf3+4sk(qzLuP_+8f7x&YJDTi*7vxKW88~t$6%DpAr zYr?G;`(}&d|A)ae+fji^bDd1MXfX#?OFQPk%=>b^*f(3;PT^h`Zs41azmcNVUlD1? z8^Q(WIb0>t%4vM8hV`x%`+AGJRs69Ua%uDN18JaW_18=|o=&dR0#aC)L@Spf98V{= zTe-W98Zl_knOT*#M+DqLsT> zxTUbsUsE;!sg-EuItuq8Y~&7z{g}md67D16rm>+&GexVv`-IDajsEI=KnnGXR_=b` zmcd4Dr`QizTo>V%3pbGgAx#sl{(1_x0yg@KWk5*vL@Spn+{dty%Mtrdi%S!3rEp^z zIMPJX>aVYGpTI_c;S3xpR`0_aqLrH@+*;Vk ztrq)wi^~-53*pk(;Yb5TU+f$P<_h;Ej_I$|CypT~TDdocTPNIdv9GqcFNDihe{CFp zy6%s34g&=WIhplPp*V!obziyi!hI!NsR_<`r6xLBxp#&8S~z>ZHp;;Rx?kHMoX&H) z4l1YX;5Whzl(=GzG>-3uv-fq%>Gl0VID22GoL=7_ zmAl)?=)O}q-FN;ZoV{ODZj@Y)EyCIRKjjjI%Ms4rPbybmxUIqkJ2{z3qLt(SSHkqO zqXMhNzTV>Y3ipd}X`P+SK+)=Nzi``xD|Mff35r(kpm5uTTQ2t17Wap6zY5p=ekYSA zTKydrZijFs5}Zsa(aIeYZl`cd#J=3(a)sL^T$e6Rrn_kMcU-vL!WBt$G9^SScS5*5 z!r9|_w3O?3{!NbU=NaX6Tr`n+&Z zIQ#iSxtC;|9uh8RfRouNS~>omPNu_-3XC1-WG0GMuDEc&3l~1f$wVZxmdE=TM;EslRblIf(Q0-M`A8GX)sM9TF!@01+d*H<~czNdw= z-!mwu?-|YrXTN7qPTw==FCN+N8I;ra3X+8oc;~Jks}>{V@0dK zWa0F0^i>+=aN(krOBIgaX=Jk3K|Y>c4=G=WR_Fh&;Cg;Gj&|kv`*`H+`CcCP0y^LG zyOQMWbxS#2xA>h&a`t+poUTXw?j$*T{ZLNV4}OP|oV|W1r|U-v;q3KNIbARLol5#E z@(g|;l@P7msUl7}zgtPpp6`{@`JUggB-f>jbDpk8%ISK{V2kCfB(h~K#+ zx9}NQClf7?JPWMeg;p}msoQ?y2r<2Lvmnf&>fZy$8vhPdf^uFYGJelnMhH|51KHzsf zne6?Ba*4w6JD*JUenYwX!rda=!bFE(B3ij8WxVh^piCVeaCCRk$~`R{zYEG#B+1dG zL@T#QIDRLTX^GfZTijQ|@w=f+-Mcz^plJ1XP`E0>l}dKFN}`p!@-nBK-xXzAE%x;m z7a<(KGs=|qprZ$hR(~~w<9A1yN_BH|P_%NjgyVNenU;%vy~WiNE?n#F?(ic;t3Um{ z?Fiw5DGnDdTDg(pFH*SmV$ZR-nZiX0H?oK0Z=z`Rw_LdD!iD#AxO$?M%MmVGxE!$` zusHpN^%}yhepoV&+r0m)rs}26^Jw}Ei>`X;Y$^ZL1=aQ1VGa{8RoNI3iXL%9-C zZ)4%?eo;>Q<#w&tdQMR;T>Lc=?tsL3-~}gMAtZ!rA+0<#hktLb!?3oqDH*VP(aNREzPOce1LXmKq-f{V?}2w+6@i$ll*8r~CUh z!i}9F{@!r3a;v4@w!+!td9~E5?-|+&*QJYN=q_40-ACLboV^|?r|VIB;q3KDIbDxB z2xrgF%IW-kuWOTeBVji*WHO%*Dd9`2-jJ-(0|}bbh5)MH?pX6 z%>VO%*DWvs86znd1a}e2?-z3s7p|Ug{QnV{O1N-cgzF-ly+2*!oF35qX(Bkb&)z>P zS6=4R2ZXctL(1uXC`mYbo&H?vohkj-RoZ8-)5$`#Ic1(aKd4E<@Yb-{E3KD|f4K{e(-KDj5gY zUv~

    #;?`-|CL2e%5Z>)=D+cpusGy>dF=4-hW)MaMxs(aQC!+a;)*9oQ{`~!r9|RIUO&fgbTOEi*h<%bQ``|xSgVvyFjbl!bdxLEldrJiWzuDH?(XtHo~a*;8Ta(ks;rij_TzWRBG zUSFMV?fXbMy^p4eKYQL#PUns1gtO-j<#gV7UO4-@DW})%1>x-Lp`2ci>B8CbhH`p+ zbs8A^kkfh7L@W0M`j2Ubwl7mMPI2D}U1o z7RMPdWzHcE<^1AMPV04xLpi@V$dx^ZI5>%xK8HAz^NT|{zc`fhi-R2dS^c?Pj{@Ls zIEOft^NT|{t=H{(DCZXkIrg*qbBp65aQs}&@BUEEFAn9jUbi@u^NWKV`&s?D#ZeI4 zb>|R=a(;0rr}etUp`2eF}U1o7RROF zu0Dr2l=F*2Ijz?%4(0sfAjf`Ie{OMH2JWhJh(kHQIF!?R-QrNrFAj3-XZ7b6M^SKm zMDbe(mGg^3Ijz?%4(0sfAjf`Ie{OMH4vvoiesL)07l(3MuUj0-`Nctw{jC1n;4+R=NAV#_OtqPi{mPAmz_f#%K62ioYw0WhjM;#kYhiqKesrp28Umv z@Vy?&`Ng4}*6S9Ba(;1;V?V1uw>Yi=SL7VxP|hz7<+NV6IF$2?gB<%={kg?)Ex5wx z5QlPpaVV$ty2YWKUmWDv&+5-Dj(>r>d!5XQsDRzw%@#~oL?NuX}xZ7DCZXkIrg*qbBp6Ta0SmH z4(0sfP)_T0i$giTILNV|)t_4&*MsBdL4MamIlnlR(|XW|>#4$mC-0Ly1{8mU1q8!VV<1;K1%PK>H z5apW_K#*9>_ka*?8W%w+m&?i7W%Xyk(s^~d>K{k21$iT-Lpf)M4nekqrL zJQKMXND!hN*K6f^AkRcD7GlQ1{fTk|k!K+%i@I9c$vEShCwm81`RPKnuwYE6E=T+{w!L>o2_k{Kz-)k#((%{-!9N*(B z$Inxl*l)b&c~7W6er};0-_tTt-2*W>eomttpPQJd219}n^~cYpl;ggOiE0QW2vLr6 zvT{L#8)|X<+)=qI1~&}la)@01@lxI3hQk(wXuV~TSAPu+ZiK~^Gq^SeHxlJ?h+O`9 zI~v?5*v$Dah-0ny0fT!OxD5vP6w2igx%}hcJA>oe3^xC!9Q_Eo{L#<9!bCVKeWXK+tj{;C@O zju_lCC@(53i@BfCdJC{YNG#{tY0iJuaID&;KB`#Yr7do7>+fL8V2{A#c}_pTwQ~E-r~4_Q?9YWy?}Ca9_Ri|xn>48-QuDQ z?ks;6C)=DjD2I9$amM%ktpvFt}wNTswnX?!k32xD_5;FN6EogVVp)&wgI%!HqWj zed59C-?^v1Pd&Kj4S(EAlhEBzXzb`zvBZj{(J-7m#;Kja9bf^G7%>FBCaM>PQNrPMO!QE(ZUwLqq4eo0XF4EvO zcyJ92?i&xTjc}Z1xF)!bm-Yt7=VCXmlff19;1Ue3xChtO;7Xi@%mPkI6l|$kbTa6tO3z_XBr%z>r5`j;N}<{pV#c` zfuc|x`aK!e#pg9QZi(TK&uebn3WMWwlg()y*#^hwCO7U!gA00adkn6;2bXJbH+pdT z|Gu$bZaNExqEP=`&%uC1?q-M`2W>Ptd zI6guXf{B7##Q2Zrry9$9=UMw+kF?yuL*s zLCLc$7D7!|hYjvh90wshhxRzpQYDU@``K+i;5jl(L-)NGwR!>KwUJ=g8@G<2e2W5`<{HjgV(z`}Dez z+Xe|jlxvJU6FKc?a@!$6h;p|h&qPkgAGu#4)S7r7F;A|76whvALJ4sO!T)85`-vsC-O|>dW3Qg$2o*$%H1V4mJO6V{T+Y= zADAyW!CUP@EIfvt1XmV}DM($OE`vZCU)ns0`*QJp5qYyW4zQG+s-mJH+*jVp7 z2A7Mxo4>^dcN}@spNKyvLYm=XZt7I68zZPQ1EalDo*_?lm}FFUj${E~dYZ2FGi_ zM6M8iaNgAM*NFxs_8F_GhUA^5DP{_djziT=#bd-z=gle<4e zIb7LGoCErM2|}&O9M2WO(MEY05`-{jCgo_m^&C0I$3!1|&I>{`4$e_r-{?=*4{}#Q zf)M4pAWx3RRU{5_+&9s&+7fw;#C{1Aj``vc)}e`UXuVoSt^~x5i!%H%4mYl*!7+}r zag3v$!SQ+UT8PG*ggg`5bUQefGhZ5F`$JL4)(RYLelat|V}^)f~#a>XELtC#2LK7t&tZxEvX zx*@Oi>bg&k*Ea}Jt~>I|RY0DJoIOrcP^RtEb%q?@Gtig%>meMkfzJ15<9ZsLu19C% zdKp|pR=^AIo&VLC)>Dg50YRCO=NsH$P6-1wXE?Q>|~ky1vn0Nr>6c zeQ>Ptio4L9!7*{R@xrp!bcDovlGn|R>u7N7=O9G;ITd*w2VD)0{cLh+2A5%QjKk#m z3P=B=4377&xlX4G$2LD@aGW=IpKALugyXSZ55`*#!gDq0{Ks+dqT$brd)?rIIFF+B z_CsE;$J+*1-s1Wj+zNxc(c&I5INe7uj+-EEajZA|d2t`Y2NV6>3^Dt80FE_|9}IuD zpxo@|fd;qR;P`&X1^CElq;v#gBxpLjeRfCxOrcpRn?lkgDJ|u3(>O*WPk%hOn89&encO1=r}I7WN|3YF%Q9WJ$lVHIom%gs$g^G^&wwzIs|+!@ z$8fCNtH?8vs{%P&y*yXf4{}vKI9)Hv-3Br19fM=7w;}RO)q4f)MSOamX|ASodM%INt{$$~}oZ<6s>+UdRO@X1q_~SUDXp(Hd@5zBPEkgEW3<8-``y9r{pZvu|BeGQRkB6l;y94`}btelPma=b6y>eX>T zt|G*&_h}rfzh=lYk*fqbn?Ih*Ih2Xqt&kvu<>a4%&>xREHkrurz6?T?n}ob_6_95l z$NMq}QSMpfwSA>!oRYKeqshXtuWmFr-bX=*`kR70{gLC`z(jv+YY?K`ROFSbZ204S zWO5vH%2oB?o-?>G5AJz`i!``Ml$+z=1%r$B;HDc~tOxg^!8J#oiTx4<2|~1Ac<*Su z?T}|W8<&Z4N&ugRQ;-jPB-?oQOpM2`ECq7ZH09OM}<>$u0@INzHb=RD=Q7#!z&lY7J9dKet% zd#)2&?_7iHXK3tHSfgVXmfjFZV;mJE<|4YPv=Q;JSPZIZV~dzRY0DJ93==*?k(gsCXJU2#|t}9 zZEy1!$&8oJf?n}%37z3Qo4du!c5FXKPWPRx*UR5=!yo%k_Y3UrcOe?nNrPkmncRB@ zHx}cC?PR^Yf0@_*(>0R)@{Eita=g~8Pm|tnRajfv* zJ~Ftq9$c2eeQR*`eYDKrnj_D|IPCjqIm)z;blo}|x5D7I81fvyYm z$7>dZXuo`dJg+S|T^Go4PaA|N_bKwq>AFC!7$gW$?la_-`&rt@@x-yfa!oo;S+?Ea zxE^sVsJ~Un>vhxp5dCr92tt%wjlA~XF7d~Cp7jPH%B?|O{q6DKJ~z0%2FLc9<7%zJ z9X2?-eP0O2b{sJ{u5V}S7jh>Ij_c9cIJTt_HwH+I*NeOC9Jvzb$X$Dm-1P=`Bia~* z==Jy#d0r33QPG22XK+y-T(-g0_u$qW+?@u;_1CSRTc0D>;T$>re1X@E_iqrQ{qhy^ zYzO;A=SebN_tE`QFFB6Wv&F$Ou3M~!9LK3S-+zr`Cfd1fDQCYQ+CT`2_GHvczw}25 zLRikSZ$vYWo*vw{2B-Hg=PLXD-H0;#{yiJF$>7qZU&wj&%MgRJ@88Yhk8$aEp+B$t zSI3JVr{l$sd)R0n@ADu;`}yC<>wTo-ivH~D@g2%|U3fjli@)N?+x`4K%Cw*Lxt5$g z|NUTaPaFQ&f2>E7Ip0q?M{b6}v7dtwjrT|7**+d?ylkHx?@uVxcy(NHeX--^9#Z4g zb>VDWj=|}BM{?{Jwnf{w)!=kpAZL%OpM^8yAZN$%3(7PO9e>>8Fb_$ z)Q{8kgPhlW^s-zxa(2AiP^Q;yp22zTPxboJpWQFpQKtQ(*Oz-2`}+PW^^(==dp2%| z!7Y&Xk@Jf8ZG*Gp-6{Tfef7H0-?_{iewCaIbQ^DO<$v{laqEA#^1tfejd%0^cbB`h$8BEvyW8XD z|L?x<{%-$nab38t|8MuTTYsLfa=ynm6W6Yy7y4e>eZR3i>!Taby}Ow>{x3m+Te;gf`K#rBbsW02|F4ddzuHbW{;#(G zueQ^TcdOTp|GUfG+VfY-|L%7FxA}Lw-~Vd)`I?s&SQm`*0Ka?g=Kr7SPq%r%t=#SY zb>rRU&(Ie{jER!{!_O?;c&j@@-<9E<`d7>UseV3R_42*8nb>c9M|{5gyY=V!@_)Yk zxQ#cr^7B>iKjr72x-M?_`#;qWf3@DAd9xtT`=z|c{gTixH7$^qp4uZhsb4}yQhIW7 zpMJ?1{Q}*3Jow?3LR=5Kv8`XDZU>!V{( zl)v@Su?dmk`CA_yhobzguMv)-{H?DMg`)heuTdRE`CDHj8b$eAU!w+!^0&T542tr% zz6RTszx6fPuKcZ!iA;!$$lv-Hv@0Ti>toQai2SXOLAxUIw>}0nVhEYTBnCBN9GOM2 z=m~2u#YUhgf9qq}uKcZ!WxMjXJ{IkY%-{N0v@0@y>toShk@;I65efg1`I{dRi3%d~ zw?871HRKNQ)@p5l3|^j_Qb`x)n!t#8KUfqdMZKZpBd@aa6bBsE#k-QG$AJY2W%=X4iiEQKabQV8nH5I_;;V{(X{?(*s?THP<^OEhCVU8i2W3%RtwZjI>^fgSw`tJOt{YZi)E|%!LtCk#(oD zB>(kbN&c&W65QhwC2qw8ETp%mruR(lihB!Nt-J&7mGmG6y&Il)fNqV*J3xO%<{e-_ zMCBb|Bvj8k;8c}&z&R!FfHz^@0Y`1#0WY&2VBWxzCk@4ffwfK#a9#3RfGGm2n_6%! z@>+mN0qdDsY9wGG(*sgSI?a_nS1S~pw5SM_}#yN<>y^3W<4+{0ZYpc(#Hwp8oVdO0WfMz=z4Bpb+6x~R z4C~rNV7;)eO$3$;ta>u0vA>Lwe!+Ipn(#O{tQij@6cOnS5t9%nCu7fSJ<4GGMz;Y z@r)6z&BEQBZ(Lx1Z&!ytw-)%g1Z-34w+SS6?V8d5fk2x;M)#Bl8S9;aUa8$uk`jC2 z{}M?`?VXmGp4cxnJ%0re3D#YL?RkW?R$_-8fwjrGOW4hktc!w5%-MrBYnvP@vUUOJ zS8J2ZuD15bp%bG6iJhV%?h8EFzgI7(Ivj`JhtkNn(9hV=&zR898lj)jp`X=5KchlF zBSSyo1!slMjR>6^5jr;_bZ$iG+=$S*5utM*nE{SUPeutAf{<}QdP=|K(9wWo%x0LW9_$n77-$Wq5txX)9Ikq= z{t0m3zgIs_dI_O3L%#ElLVh>}HtXG+czyn8fY#vEQ(!Zn* zwKS=FVtP_)*W}Rhgjzyt6?ONFL4A?}4~2fFCwC2W((t>2SPvDxlYFEU?-o~oIelI z+&>TUI{VL}KS!Zc7V2~->vX)6?Nt@PzCT-gUnzU~mXw~}8MuD`1{%HyQdZz_i-Faui zoh=(SZ-VlcO&TOLxwFBYfrbt5Y~Iqz-rlfH1Iad0-OMq;DelOAbR5!j9Ln6$wtka@ zwu(DP4VybBiA`KMM|ZU`ZLQn1H4YorZ`HP4>&8Y&>&9&wn?#$Y&2Bf3+qG&`ziqw~ z+O=rgIG@utjqi*wJmN4i|6x@A!|KLiyEctmGdR5 z&^9l}o0(HT76H7@r^53Ee&SJ$MUj8L^iK=)<6)Axzy}@=;^AB$e=@KuO6<*bu~o1B8P)N>lHJiZ(4cLg zbw;3dzd-A*f%A}<#i_;bbkvyFPcMg%m6m7rJ81g9?> zqjv{I_UYO-1)sWv4yyO-9y$m`pM;uvhE~}6p{iP=QsyE9?YS&7>*Tl;^I-$)T?&@g z`hf;~p1?}oI&gch#BLn}*!uNLM+XERNKNe(5&9X9m>=vHzz03uWpmitS-e9VM9Iq* zQI5Dxlq}u02sv*U`gsrPPJ94QI0I6;!eRI1ly2So1=?t}a#q{a2C3|wkJ<`+Q zD>*%^QEF2E-uP52BkZ1(hZ4J{hP84&?NVJWBRwf2EF-0NLS$H<EF{Cp9gx ze@1e(jE4gM7yl`}#r*@x-4h=Q<40@N{%@W_Elus8o|K%C5D}KrJ1sRGaUp;(d`x%V zeezA!|21a!mgc?jI{&UYqnv-Qf4&Ae|Gv3@;7gVB?-|>cKi@5!fA{jT!g)z?{@r8y zbl!(ErcF$Be}~)T^z-gD@45B7dzNSX^X^yX>htdR&-V}K-8JU7W-ZVE;N=eE{Cnkg z=lpxMKUw%&Y6!iVJ^!Bb-p!qV*Lg3H>6(eZN5kaoG6I1v$WY!8ISox|i(eq3ED*UE zUjn2giY$euT#sLjqzn?_|DQ#<3BUM687%S*G^Hwj`H%95$QEcy4g9h-e@}tjDbegJma`De3h-}@)87@)2rF=v=#pYDEB2e9d0r*Rt&qx) zDv+v>+aTeP2uLI(8d3ui1K~TaI7m%MEl6!hJft3^KBNJpA*2zcF{CM^8RQN~b4UwF zOGqoo-H_IhHjuWEc944@4??;@dO~_ZdPDj`21ABGhC+rxhC@a`MnXnG9)^sDJOX(X z@)%?cWGv)y$PNSKqf+-hCBnA1bG%R88QVj6*3L-9OQWjuk&=si;x+R zS0S?@yxxl-Z$aLMyaRa`@*ZR{WC`Sb$On+6kPjgrL9!srAj=^uARj|kLOy|f3i%AO z3bGoq2J$&%E#wQxmymUkY{+`ZSCFqE{JR?8KrY8M<~S$@DGn(Cxe~%L!T)FY8pySf ze?d4t_kXs>@A^iV@t3qyr zRD*;;u0)&caTbQMa7Y9s5>g$a|+yAoRhps%#_V zn?TI5cqfiqK{`M>K{`Y3gLHu;L;67aLee4qApIeOAb#V}9D^Kx9CNiGY=2z{?-#Qj zcjLG<#Jmsm9_Wtp6i5$9DF|aN4Pm@NNO{RK?pqZ*XJD{V1727o6_EVsi=E6(9Dqiu^GN<P82-_gT&SEqV9!<=J?)YU{dA!RF7$x* zK(hIeqlFjrKh^{GY_&PnF8RlrcA?@6J@8-G1CuV?9{*L>#J(Io``RV{Rn5K7mS*apCwv5B%@;z*iUcU;kAX-=3{r9cGvOS2g!SV=nZ- zKiUJeF3ey5X#d-l+q2b{k#>oF#)abxJ@CKV1Entf{_20##kXgxqYv99|5eSs(3lH7 z@Q?Pu?H6v3f3*MY%I(X+?}RZ8EU))(D2;o$3s z<}4dBJZs&~kB7dv^7H*iKh8}$uzzudGi6#$IDX0WV-tpM%>J!(eEY!z2R+!JZdCVU zl?$J2pP5?rcbo7CzuAaT+aAKq3vuEdy-czOU$?!MJP0u<$dhV>^ znRB;y`#86D-P}KdEw>(eI%@uc{txe2`tQk?q^3Sw;l^a%9 zhkoWZcPkAGNZ)1}kT4FBk(wQXXD?I}DvyXCfa!(XmY_-ONwO4lwozfRR|pAVXS+181Aaq)tK1Mho!L;Uc5-|bkt`|2GT&wie9)s8kZVl)2;D|@PR zooY|*oU>}z`Uykdt+J!Z{ina1^~j!1VLd-Wp-o|ir1+Z`FlUM;s^)8Id5yf&ldk@y+Uwm7tCep+tT*Y2KL zrElH66*?!JD6(nsh%d`rvTnquyAGt}-ZJ7yY-Y@!OJg%rY9F6IJ8js8CDTU^nQ?f+ z()q1l{CZ5c?Kfne%sIJaLTr5V=$Pr5$G^*+I{de_-L?%qwCv1>ZC5{qp8u%wBZuE_ zm9a9nbE&CUr^S7eHT3p%-Fm-pa?6-vnX#Go;kvv%qUF9bvmPJ6XWsU!*PUrqyUl{s zH+RIR*UUZrYw`Ggzg76*_~QL(HFqCLSv93z^O-lVzpDGC?-c5I(QTu=56{Gmitj%( zyC&*%?wT+A?#p^8 zYFX^Z%SH^_c_MP&Ym0{b^5F1@K^sS9z8E-s>+qb{>aLl)yj^zbllzYsj=myy#zU<( z@0wt!u3frg@bD*2#f_WSr{#c#k9S&}dU$wF&r^E}cFuY? zEIKW>?6?slRu>;UXx9Druh|~G@|`WmbLS+E*wr_;Si4#~bMKtdzeJOh-yF`IerDUr zx!!%N1DK@q9{kt~Q{b@nt6D1N}Tzcx%^1W++`SJeYcV+%?x>*07@maM-?7p;J{OncV z#>Jgkll%14*pn4!k9g;Xx~(%izj&n6;QlS<&)9eIM<4!Bbb4mRCMR>YWW}636!lZb zmATE<70>+rhaq;X`+4~+nQ}~xM@yCkq8-B3a{@ORiAA3BuaQup0 zozjkP+f!#=tu+&dJU_hHpf8K>%pFiRZTK^XCrz)rX;#a9b$3_H-ci5*{Gnkv$Gh#$ zEt&b#pypW{=hc0seaxA4pM3h&n7)JR?272|^X{1mTYq1(sb>vM&Gj=92O6pZNKw$CH*lv$jfH=9ax3=iWMg zXvTem`@gm-YW%PP^LOq&v8HpNB)XKvfQtM>Z2ozm-OcMA^g z-@HY_u-9(iJ!!+1GKYE|-_|pJL9MD;L*`}vvS-lzsHYa>-m`AW;N54I49))Fy<4)5 zhbin=}EW-MF7i`^l z*GI!L4~_n5_{}?_emU@5?Gu6cb$egTs(07WBlj*!+wtDudUs79F)XlS$K9JYev-SO zY^$qhkNEE1yM7q7v0U2VVS$q6_P_MrqIO;8F285gyo)+r{N81~uH9UDp*(ICEEtiCvnO7#m$^RJ~x+;3I9`Y&3sck*4EEc7D9``&X@r zm{__%;dY%)HMqOhkc+Zf)co*`-`&wQ`yszn8M zbQ*R^@4fR5cZ~nB^!)gD0@=Agjcc~<<--LF*1fLy_bX35`OsszL$ApEt=OiRJu6SV zz9Vb?*0{{F!?tHd9v)UVCgGRiQHSg7xM#+RFE$;>d~W}s@skgCuDm1nc*Yk6&pek~ z_RN8nBO-dGRf`*Us@=X#Ilr$Qa`EiUWBWHgcxu+1+_dx_b>e-;?b5Z zPH&u4e0JvNyJr77vE`{BQ+}JXVEXBU88yaVw(jO~Ej}7HeC@&hWvfg-K4Dx=+^PjD zc8$r{x^4NEu=W|#m#=yJjf57(PJOZ9<(KQ0jNO03k+4m7XAk(~)W^B^$Chl-V*B)> zBR+Vl%ygcRd)uRv3RawbXm{q|54T=7J|=ch$K-mKbp0#;PEQzBZpu3`akwN?7xlR2 z?rR>)?o(sUP4_mfSHJ$J1qxnr<&~G-cvaUD<8Ev8-aA)T?OiCL-saYg7T%S(=+Q@K zcDbW|qvp-aB{pxqcJIa^`{TAO$=Fnm9Y+jf6?+`o@yTo&J=fAdq17W@5`6+P<4 zKRTjA`(bb0oV&7A>YMW`yf8QS@xBSOU!1yj)X<%)o*ug7*QRCW$34^X-awa{Z&hnP zXL_|0zx@z(rp%OTt5;;CAD%wFPPhAd3>e+N(3uu-leeZn_RFd%!wSsafA_ozD|ZcP zn^1W`&hK}9|MHUwuP2oKW>b|bV~^CCowa)Ax})pc#P9#AcGhn@pFWk;{dT%B?<{om;H*nZlK)mfZ2%CwoW49$8bk z#jvN3&Z;~8_@;Tk{Ze%B$3qVm@Al(e)zW_56F0ne>Z#xMjDM}z@IK!a{4%-DisJoq zzS*_*)v5)i*Bmpy*q#|#udS_@{lUuOpI5u;rLef=&y|c@d2mwt@E2}aesIIH^KTr| ze(xt6pFUNvVz=yFYfsm2x#gV^cO=9PE7xIZ?z?>wPOjXw_C(RyVf!mg&zih*Na5N0 zSAX|qpPiQuy8d6W`=8$N`=`Z^j~IsSN~soG#>@}fvu;!L;EiQYAHHW(#XZGVRUDqP z?XeNDr~lnP?c~u}Zyb(FJNfp$ii_WUIs1z7<#w+eRPJE$RTHY;vuXD)O`hM~CTn!r z_-^g0ts1a5?)$~xjM=bh+q@ZB+n$_})pJV0=~+AO+w#yyy*}QVd*7lxzyH$j#WSz1 zsCcw(&f!NURjj-9&9K9dMkQoFm%8Aq;X9v8%}#$TYRkCFC1X!aS#}~Vy;O%{+55g9 zy>I_TrO*7f;6^2AcPX}*YF?;jZUq?>pva3Re%W6!Sa_env zju)9yw?WN1litt#@wbH!bc-%rE#}0Lsd3A4UyQq9`fHu{Z~67a+LLkj&pr6tgdHnR zKG}Z$N85gh{xoUWi@Ey;ZJk^6^#w!E{M=`F?ZY4JU)p@>k#TF6<{n*k;FT&%kF1@$ zX4M~UYHwTZ@aH_l?f}y4~iY} z>q`+8V^(Fye0I2Jv6g*)UsC%1urULxc3w7l&*Jn`KV?Vmsd;?f;8V{xJh8iHwWw32 zc9a>HeX{zzxXj6i?~Th$8k#-j_|SXm#HZKFnsM2n@q7Bcmv;D`xHF3%t66sN;aduK zPT2I;$&L#aG~Rmri6PfFFEi}h|mYw&O$=E(5C!>1Al+xQquPxth!y{|A z_AB+oh?U#Bv`C9<`%&rpt7a4)95=0D=VI-`#(c0~Nc;DPrf+&`MDCIS<@UeYpl;Tx zw2*P=&*^gp<3A38gmo@fIHS*!(qCL#t?=;i`yNanrJ_iU=2b3D3uY-ZntpZ9+{Y0UdqW1~Ox(CEXvhW4#HcSiQ-x&5l`j-A?Z3p%xP zk71`im_2UQ>*3jde44vp`iP9C*=L6SaP_)nL&m%?qJN9bJ|`Yuc5qSJ@X9+@9lf?r zeBUhO-C@tiit9#fKlwtZ!KdfWIMeU#gGnv6 zy*WPa@wf?vV=DepXV8pmx98lSlfE#lTH&MDOl|mWtu1d%ZMpqWMDg8gXYbx#A!cxH z+xSDTcdondtHo1apEx0I#rE(ruNB*ry8Za}N%#N1%C0r6sVi&GD2i9oPwmhPNo>`z zj3sHMf-yj}wK~-fXsHAWAv#vlmP#NH0wI^;eAUm=2|8XA zk)%_ENXbPwhGPkvxPOM&C$P17s1Cc z2fj?>@ALB!nw(Mhxw16QU2(95=f5X0*%+I@UtYDSdFTJzL{sYt`Ypvf;M%$Hc+c=@ z!;?M3vQ@j*Kea6Gg_n5Wu95v|Lo2*(*hn+)+X(EW#U)i8_auJw*<@UAHnVPeLMLe< zYiBOM*<`p~qjR!rK4AY4c+Yt}zpH=gZC@$${O`=oX@zK=GyQDv-S7>!1exmmYjF5` z=e7sUY2(j%$)P^+1jsBc{iEIXm0OPS9ZnuTXs8Cw0F)KrzmmZ02EFA;h#;BfCx z>mKIea72yl79sFLE+KdVf&l1c#?fEPDjel=eQ05ry}D+B5z(Vpgk1GtPV?-Hv+}{X z$q&|ZFIOmiw_Fr*Ic*Oia|PPkniM^7=?b8-URPNw!&&iY>n?6(67gOx&UOPkKW>5q z!tdUmw|BvRk3xSZ3XLB?a0!U)%+{5FYHr3^{HU^7W5S$_RCxPcVpPojR;S86@D(@0 z$$sF=B?!Fd>7w?NSrwF}fY|CcTw{i|Ky0XYTGvl^o$ADR`dxdq+UvVAgkyVN|H*HykQoy z$-g7bP5*c-9w_nH5n)>GkSAI`bz0Kt_AK4;aVSxdjon z+1i2?H=3d!v_6_0u(uSUhb;US$>X@}ErA-Fxz7}?X}ven=f9{fC?lI$>e2MaT*jhS zv0llmdic02TUEqnTlnhSY_zIodtQ}qilxp>51oI-=~z8IvOmnK@MElATeHhY)>g zibJ?r9I@h6=D8}>9Djm}3)9$J_-nbLf&9OpT`SIgJYb=)T}z~h{7g-&gqX0{D@c|~ ztnTKmE0H)g95#2zBQ8RPPGNz43PE>62&49XTsm;&c`r&=i$V>RA|&?1dgkVW=vH#Ly4 zLE|NOEGtGwQ`|3?FIp3~aar23*JCTQ;KYVj_#8L*KkzB;^zQbe z)4OSL>#p!GQwFex&0qey;Om+n{JKJFj&{w(W4%06qnB_>MebD;amyqGVgkW7q0%*a zv?&AaMDLI)`)gf(ys#7ZQ^#3 zoMZjDK+y*MuZl4_q=?)-<|)u|4dEZ%iptUE77Ujplvj>=av8;uQZ@%u>}aU0@Jh=# zu&IDvYh6HEF20Gv*%sO+q_8cDqs-DKVuM42=GG=MzY{}&hqL#}kDcACk+WedHJN{k z+mo6%cV}?;x;i&q>?V=ZMO+y^kNJwI&S70>gNKRRwQ}dA+-a8!ZOVA-v^3sr(-i6o zNmP2~J##A@03=8G#KEJR#Helo9cokO>L?_UCsKR{aE6Pi+1gE97$3?yr!!x-U2(w2 z{J#8goeZ{h+h}7jG!8g}4jTF4>?n*NSTy<=v{oLFk(1T;cCOCaD#LCdTJ>Sz?gu=<#Hpfx86aIZnhpv z;3?BvUv~yej@dnJ<0HcUBYqZBs#mqD$OaTjat@>)Rq&)LQ3I$=iMU?UPi;<@`uzz6 zMOPa^Z=5zUe5dde0X33S%_xjUjA>Ctzvt!{ojo$KEkBXDMOP>@4tet0r8LC_TU!y; z{6uA*sZAxyP_eEkys8ctVshLQQhgEpp=oM~EwnB&;DxLk8bjUgJWLKQJjL8TJ4x2W ztGZRKcL&g7tQTc>1>DI}EN9^`$?RO3O(pAl&4FaTxVO>;G@awhGR&FOkomf?*QeX7 z9>Vd_j0CmC_C}*9tC(m(L;dJT&;W&0Y?!&&%k7Lhqgy)5i@OyujM}-1E)0W?;3NQgDED_{hf z0L^_deKWcj=ZC*QMt471XdP}4sou*o;{6p-)lduyw@zVi9B~6|?KtRxa*YC!>0_wR ze?QUWz9N-4t@EsMtwNB4V}~tjdxMt!+!sr~uK)1xf7V1AmqvbZX0CRBY^&RG{BH-= zz1e)`Mbe&6mR~!#;^A+OrTyP`i$4Ek_oWjrZd$S=bHn%Vuj7ASKj{6i%xHMFbTM|F3WAeXq9bG0B>53RRMDV%>dh{6JIAb9?5utw z5YQhHio!$9@kY@TA*<+#ppk;6Ac38GPs{0c-%8D0zvP|&=4RF7N!yMch*g)Vbhsi3 za7k9J-TK1&uiyFL!+&1>vxBc~ef!SY<_lcP5 zj+Kc$_dkUnjq25I5K=U5;W^u@0mcjskdDGG89iXrM+VpGI=!ol?(lu1 zaQNn!jF6n|@Eu$2@J)psK2yBjML@_vZc;VCB~0<6)%TE5^B4HY@lJeXd^v;Ve2c-- zCNo&jvy4zzr^Dxl^ezC~4I+xG03C@25Xsd5v8V!|_F8%5S_4uwdlH`|v+$yHHInf6 zNgle#&O=+O0ED3eKvc=VphFIJ8XUg)Oa_bCh*yS{_^b=nfHb2VNH5X5JP3np8;o;k zufunv6|bD{z$-UGJS=mHCt_N8B9?=P=E7dt79N^o=Al`3o|6mmw2DfAV(bBX2;Cq) pOAbzC$-qKF4_F%yX2zo_FF&>NyG0#4|BGf;wIuS3{iHVbKL7(%Q)U1F diff --git a/Project.sim/sim_2/behav/project_screentest_vlog.prj b/Project.sim/sim_2/behav/project_screentest_vlog.prj deleted file mode 100644 index 2e563c7..0000000 --- a/Project.sim/sim_2/behav/project_screentest_vlog.prj +++ /dev/null @@ -1,27 +0,0 @@ -# compile verilog/system verilog design source files -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/fulladder.v" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/adder.v" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/comparator.v" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/addsub.v" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/logical.v" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/shifter.v" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/alu.v" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/signExtension.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/xycounter.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/register_file.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/vgatimer.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/smem.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/controller.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/bitmapmem.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/dmem.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/datapath.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/debouncer.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/vgadisplaydriver.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/imem.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/memIO.sv" -sv xil_defaultlib "../../../Project.srcs/sources_1/imports/src/mips.sv" -verilog xil_defaultlib "../../../Project.srcs/sources_1/imports/src/top.v" -sv xil_defaultlib "../../../Project.srcs/sim_2/new/Project_screentest_nopause.sv" - -# compile glbl module -verilog xil_defaultlib "glbl.v" diff --git a/Project.sim/sim_2/behav/regd_init.txt b/Project.sim/sim_2/behav/regd_init.txt deleted file mode 100644 index 463fdf1..0000000 --- a/Project.sim/sim_2/behav/regd_init.txt +++ /dev/null @@ -1,32 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 \ No newline at end of file diff --git a/Project.sim/sim_2/behav/simulate.bat b/Project.sim/sim_2/behav/simulate.bat deleted file mode 100644 index 392cafc..0000000 --- a/Project.sim/sim_2/behav/simulate.bat +++ /dev/null @@ -1,9 +0,0 @@ -@echo off -set xv_path=C:\\Xilinx\\Vivado\\2014.4\\bin -call %xv_path%/xsim project_screentest_behav -key {Behavioral:sim_2:Functional:project_screentest} -tclbatch project_screentest.tcl -log simulate.log -if "%errorlevel%"=="0" goto SUCCESS -if "%errorlevel%"=="1" goto END -:END -exit 1 -:SUCCESS -exit 0 diff --git a/Project.sim/sim_2/behav/simulate.log b/Project.sim/sim_2/behav/simulate.log deleted file mode 100644 index 7a9b9d9..0000000 --- a/Project.sim/sim_2/behav/simulate.log +++ /dev/null @@ -1,3 +0,0 @@ -Vivado Simulator 2014.4 -Time resolution is 1 ps -$finish called at time : 50 ns : File "C:/Users/jrpotter/Documents/Vivado/Project/Project.srcs/sim_2/new/Project_screentest_nopause.sv" Line 113 diff --git a/Project.sim/sim_2/behav/smem_init.txt b/Project.sim/sim_2/behav/smem_init.txt deleted file mode 100644 index 7f4ac33..0000000 --- a/Project.sim/sim_2/behav/smem_init.txt +++ /dev/null @@ -1,1200 +0,0 @@ -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 -0 -1 \ No newline at end of file diff --git a/Project.sim/sim_2/behav/webtalk.jou b/Project.sim/sim_2/behav/webtalk.jou deleted file mode 100644 index c3f7de6..0000000 --- a/Project.sim/sim_2/behav/webtalk.jou +++ /dev/null @@ -1,10 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Thu Apr 16 13:13:02 2015 -# Process ID: 6464 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/webtalk.log -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav\webtalk.jou -#----------------------------------------------------------- -source C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/Project.sim/sim_2/behav/webtalk.log b/Project.sim/sim_2/behav/webtalk.log deleted file mode 100644 index a8c1227..0000000 --- a/Project.sim/sim_2/behav/webtalk.log +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Thu Apr 16 13:13:02 2015 -# Process ID: 6464 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/webtalk.log -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav\webtalk.jou -#----------------------------------------------------------- -source C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-186] 'C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Thu Apr 16 13:13:06 2015. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/Vivado/2014.4/doc/webtalk_introduction.html. -INFO: [Common 17-206] Exiting Webtalk at Thu Apr 16 13:13:06 2015... diff --git a/Project.sim/sim_2/behav/webtalk_6464.backup.jou b/Project.sim/sim_2/behav/webtalk_6464.backup.jou deleted file mode 100644 index cabb86c..0000000 --- a/Project.sim/sim_2/behav/webtalk_6464.backup.jou +++ /dev/null @@ -1,10 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Thu Apr 16 11:40:38 2015 -# Process ID: 2400 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/webtalk.log -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav\webtalk.jou -#----------------------------------------------------------- -source C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/Project.sim/sim_2/behav/webtalk_6464.backup.log b/Project.sim/sim_2/behav/webtalk_6464.backup.log deleted file mode 100644 index caa34f8..0000000 --- a/Project.sim/sim_2/behav/webtalk_6464.backup.log +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Webtalk v2014.4 (64-bit) -# SW Build 1071353 on Tue Nov 18 18:24:04 MST 2014 -# IP Build 1070531 on Tue Nov 18 01:10:18 MST 2014 -# Start of session at: Thu Apr 16 11:40:38 2015 -# Process ID: 2400 -# Log file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/webtalk.log -# Journal file: C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav\webtalk.jou -#----------------------------------------------------------- -source C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-186] 'C:/Users/jrpotter/Documents/Vivado/Project/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Thu Apr 16 11:40:41 2015. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/Vivado/2014.4/doc/webtalk_introduction.html. -INFO: [Common 17-206] Exiting Webtalk at Thu Apr 16 11:40:41 2015... diff --git a/Project.sim/sim_2/behav/xelab.pb b/Project.sim/sim_2/behav/xelab.pb deleted file mode 100644 index b8cc60e859abe3bf17af60ca35bf8787059f7263..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4978 zcmc&&(Qex|6kVJkMY&-x&~@vw1|Wt7O^R5OCE2k81WTG0L$|IQlBV7I;?W{yv86oDu^_8{du<6~u zDQQ+Rq`2_E0QKi|fG~&0lf4_5B&cL+_u>zK4XpZJGju}#Vr%m3<&7|C1kuh;`d+az zT&dSU4wlccreU6e{X<@y3EEE;Y(|}SqZvifHuOEO*)XQz50ue-xD6lYu>%hngGc6F z36F>*VnDDn3q3*ns|G$eD)XG?{oRW=gCLl8;I|t1wRX7cj$qtT%Cw?}ig%ufX> z3WD8JnzuuTyFfoHR?@;d7~{Z?dtvOwJ--vRy?}&%JB|{9VWWXbuk1s0 zR?rwRy%yTS5H_B`A!Xe$sf_l_PMK4k1lb)BF_8hC(WRqA~7sDg~-eU%y~F-;O8BuoU)V8TT<^M>Ap1s6)c zU0+>W_IEn>-yiCv>6Fog#{S2k7R(95*U>d+qch(S&GrAPInO9K*0p(}D_y#;M8TCJ z!hOi&GSi8c7uEXxr~usYuOme)UCB!k6WMLLG&A1n9TB^V7tWx*GzaPqsI__~ zrTwLst4*(=1J(4c4p;TJ{O3ENuQltPzYcD`5LA%^jK!SC9Q^oZ8N^7T0;$xISMu2D zSo8SDJK=GTTpJ!w4UZAumKasPa(dRIfY5d7{b_+*uHI4F8Hhx)j7!K)4jmovWh9Sh4R4NStwcdu7R~kvQnDp za`}J2^xS8r)oXw;TNXo59Xw+#JV=k5{5rucASMS-POcFcwhe3>+^Lku#k62mh6^Zg zMhp42F{G`^FAxW|#Xz<>*#fsKIXRy^tjPM=7UU4P6_DXstlO3OT2Cof>0Zb4wo_t` zu6r%NWhYAu)ZL)3Peqxf(k}2J>0Xtg!Zv9OD1;6OQ&}){h6UB9C9C{(ZS!{z+^XbH zAC#;h7T``2mc%KEUt0m?wz0Iq=apDy5B^q&3w*}rBEbrS0`~=?=LdN+(V9zwvez)} zfa-fFJ(Fz8EvPS95~}Y-QZo>lfi&n$kKg8CJ?FeVo^YefsXPbk4@%0^^x!Device Usage Statistics Report -

    XSIM Usage Report


    - - - - - - - - - - - - - - - - - -
    software_version_and_target_device
    date_generatedThu Apr 16 13:12:57 2015product_versionXSIM v2014.4 (64-bit)
    build_version1071353os_platformWIN64
    registration_id210990371_0_0_454tool_flowxsim_vivado
    betaFALSEroute_designFALSE
    target_familynot_applicabletarget_devicenot_applicable
    target_packagenot_applicabletarget_speednot_applicable
    random_idcbe99d29-70fc-410f-b2e1-f5330b3232ceproject_id5f5d7ddd32cb4c0cb289603e426c9fed
    project_iteration2

    - - - - - - - - -
    user_environment
    os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
    cpu_nameIntel(R) Core(TM) i5-3320M CPU @ 2.60GHzcpu_speed2594 MHz
    total_processors1system_ram3.000 GB

    - - -
    vivado_usage

    - - - - -
    xsim
    - - - - -
    command_line_options
    command=xsimrunall=false
    -
    - - - - - - - -
    usage
    trace_waveform=trueruntime=50 nsiteration=0simulation_time=0.23_sec
    simulation_memory=18080_KB
    -

    - - diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.xml b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.xml deleted file mode 100644 index 8a36f27..0000000 --- a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/webtalk/usage_statistics_ext_xsim.xml +++ /dev/null @@ -1,45 +0,0 @@ - - -
    -
    - - - - - - - - - - - - - - - -
    -
    - - - - - - -
    -
    -
    -
    -
    - - -
    -
    - - - - - -
    -
    -
    -
    diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.dbg b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.dbg deleted file mode 100644 index 13458c3d93e595b205085a7d53a9a1aacd6c5e11..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 77348 zcmeI5d3;vI755+9iaYMOKY$`4N}lYvhD|_FFo2@gCNTsO3?wE&f@^TaJ?^*`TdlOV z6?baY;!?#OmsYxpZEaC&#adh2YJGpdd(SV-Gv>~X{ovd8k9R(toVjzp_spERb7$t> zCr_Bx(AY4iJv(l4!|d#+5o59i1;v>U-xxaeZ=Zku`LawVQ(c(JtgeK7Ka*MVmrQ2q zM!miu%XaLQ>DaSZX4O8iEFRP=Q@?MoOy+=CYM^jhrecFk<__rh;MY%^Y@5kE(L0lw z0%6+OWFUB^4I#adr{E`lWYEOVso^#%(J{-IHjY*F^Hlt#4GE2$Hj7s3@_$FCs@jw?Ww61ML_p zN@SSGOowa&84KaDQcT3mEEF=1V<1UPGi>Z;`b%O4!NyqU1(7VA~qI3;Sz~HvXYy;UE9hlUIC9q>y zXZi=2QrNeHlmwVE*tds_4KU@f?*KU=zzl(2R<^UHt$ za~kXiK*|El>97xlj1Mqpz|MK9D!`lxJLjp^0CN`XoTn}fFlWPF1i2}|biiH=xjVot zfSvQ*lL6)&*g4<55n#@Ro%7v$0p>i|Ip2LAV9tk~^WAnEC12AEVCQ_dPk^})cFuPP z1(=1fbH1AxU@n6F5J+u+;W~OKWL|)|1a{7wmjswgVXuIEGr(L1`*6s80p@bpM?jtl zFjv4n67s76(+T@1$Oi%DO4vDXZn$ys^|}i7!y!8bn5$tQ1KBUYaPP+X_pktSE$p0s zCkL48VCVchGr(LAJLlii1I!}WCqS+UFgL({B;?xx=0?~#k3SS(Zi1cj`11kgX4pB8 zzZqb-pW{6KQGmG>cFyBp!=^Q9UcD1`&f~iVn5D3D9v>QD?tz{2_{acrFYKJhj}0*Q z(GEE|z}ye}G|1Tj<^kA`gIpb89)$gP$ejV^A=poV{5ZfYgZ=A}mjld?U_TM^`vCJW z?B9U=Bf$I^_A1EcUrWBGkHB6H*)zaA3VRKtD8M`h`$>>70p=&L*FsJRFw0?|4rvN7 zkHcOEIXA#O0s9Qd^#SHd*k?lS3NTN>UJv<6fcYux4UpFY%+s*Xg8V7KJOlg5kbei5 z6|gr#w(gyLO`nB*He^76c@FkDkg@>tJnT)7@d4%q*qb3$0p>;6Pl2=sn3rI0fm|41 zUZxMoO#x;l>}`;{1I#P1&xJf0U|xm&RLC0v<~7*oLEZ~6ufyIB`5ep!&`NqvVOcq3 zK4gnclbH(GPlN0nUW0cIQ6xo2$wvmrDS zF7&l+I*fVmugjtVf_fZ?9? zgaETGm`*VD0cJZeSAv-vV73Qy6_|4Z%no3#26IJ#!RyuNedEmmW+yP$!q0aDOkXh9 zfq5{%>Qj!Tcb=3<2{! zFb@Zq{lVM?=9vJ)k14(n=JfzG6wD96yalEMT1j&z=h2-YT!-EdFuTHjH{^3L3!s(c zXJZuX4&hq0*%ryn0NC$=>#l$u|1=$R3-2{4P2-mZH;7821I9>tad_jhF-3KWG zb1pO!{csID5DfV#5UzRU4#PF;w~&#>d;@tt^Bm$ZIP6;E=;r_kpLr$)n4z%qnWr|u zaG$UYa%zAngPqSj7X_FK*dK=69AJ)s{l}2I1I&2X`ONbKnDd~SxCeL(G7kN-1N0sc z?g?ITm<@2e22yEEA@YwwRyzz1yZVrR215AE^HG4w!M+@_$(HNdgTp*O2jci~$j$-g zAlRRP3<)p?!~P`XFfjK*D``Bl9}a_XTpkf%PKN!bkYfT&3G7cp>H`e-e$PNo1H*C6 z#PR$tWGec(H}tU(-UF973{087Kx&MsM*dmIZ4Sd&K7dR&rV;t)Am4Qu9Cr0H>pBGT zJmmfW!+w4N@^pY12m6bVRRM-$^(Dx=0fuAsWyq%ihI`tTkgc{_*M~UFi>ngHuRyW^ zW-{!rLJ9*6=a$zXqXNuS*k6Yn6JTb*{xe8JfSC#V&mm_7n0naXfLsw^8em@q`Bs3L z1^X``4}js^siga2I}~v4<~;j&fEfh)uOKf3nEhe@HRR0za{%nWf&3NB1JFup&-N(T z6~a0FKLLjG*qe~ewodlLwP`hEcQBmun0SBv9dar%J3{w^yagHTFgWZQV`R8~{SHzZ zV0MH3_mFV`W_Q^C0Qq`=*$ei!Ax!~>*Xxgva{|mj*#88%77XWdCG~S(6zl|91Nl~f z*#-7@AP<090j(rIo1%ceIIle(V0ca6gS-%6vatUd^4kEz>-9clEtqGamDHZiP{4lv z3uJ>n@w`ZeYurB|XW+VT4$Xf40J4R{z?AtX>6Wi&z_KvAcqB*ePRC_q%y$p9{deF4k3D9R$Bc&kUmkOe$BcoUzhve1^VdCwd-;tpuwCXPj~NeU zQ;(_lm?Ob#Q3pg4xGoF7TM+ z!0>lo-2S=5WBB_?Igjb|m=nR2dd#&RQw8QAkGaudFx7O;nQRZ|`Tsz^A7J|N9LQq< zra$c5%&ZJB-2e0f^E)t{^OQ8NVtW;}X9F;Q3ou!58=4=sT`9>=5|hP=^27L)lKdnw zS)3?8(T2_(CHYBWTtDn5r6fN|jO&Mesg&d=iE;ffKBXi-NsQ}fqaOH4Vq8BP_rOmQ zu2*G_(@`1Km2S( zDXBe4jO%C19{5ROTtEDbMk%R1NsQ}f>mK+?Vq8D`tVb!SJxPq~ho1*2CHYBWTtEEG zNGZur665;e=SoURev%m14?lZSO7fG$xPEr%fuAJC^~2Awl#<$$#JGNT>Vcmm#`VL` z!jzKQlf<}w_<5O9lAk2T^~2B9l#=`;F|Hqe?xvLFCy8_?>7$EVnjO0iE&u}?~|ADv>aOtJHGmC*Q@l475lVm~Iuep-tC^c4FUDfTl{>}RFe z&rY#-q}Ugv*w0C^pPOPoFU5X-iv5BV`-Lg?g(>!nQtTI}*e^-3Uz%dSEX96#iv5Zd zduNLM$`t!mDfX*V?AN5&uT8OEmtwy@#l9%TenX1=#uWQaDfXLF?6;)YZ%wh^nPOj> zV!tQFes7BXz7+fYDfS0a><^~cA4;(=OR@hb#r|-L{l_WxM^fyMrq~}#vHv8+zC6YL zc#8dr6#J7Y_NP+pKTWYeonn6`#m>)@L;HtkQ|!;B*q=|azmQ^oF~$B;iv8sj`^psi zD=GF@Q|zy$*k2FWH-Ihl{6Tv~%K4$^Kb~Kla(?Lfisy%(uV~-K@z3AW*I488^+u3B zj_z>me8%1w!p}#f`TO}ge_NDq0zpxizRIz)UNWwH$FcKspdBFmd|aBonOHXy+gJc$ z8IP%%{%_g&eu3|+S!Z|k)6Vz4Av@pahU|P#8?y8LYsk*`sv$eymxk`&sj^^*Q%g(iBdkEJDY1)`H|8s3Q0Kzh;Nc;AoDfZC%N&jUj=U1fIL+`)n-+lkJ z!ik^#vm=D@OaI)lb8YMk;rcDj*qPKnTvrD|SO)cl{-HgWVh_DP<@pDtoF96B%61+W zIG^^DQ|u)vc6a}FCI+qg0$myHT{d_0)sCJ0yBUPzSNaae&TGeWX_uyNCiOqZ{UH#R zL4BeBX%F=u?c?k`sBX^>jW3=*KIQzQQ|y%~_Q@&sDJk};DfSsD_L(X6`V@OZihWkV z&iRY;U()rZeNc*h{}g*@e&f6tn%`;PBjBHQcYe=evQaFYe>t{Yp^Zu7oAVOqZAsGgdjqa5v`hDQ>>LAp#-m-Dbu(#va9-RK!ZN5x z`}TcP?4kREb-UwxuG2ookLfyUpET=cQv291?)kBGot^y>va?^@{`b#kzogk+|Erz$ zv;X<*%^0P9|BNftzqE(O5AFRS^b7Tc>qEOcKlt^tU7`AE&qCyX#br1^T4}mhd>DO> zZ`nvSUmhQ|n-<6S8B97}s{$_8PPrXMzxU4a#a6J?;|9=(Bz_V%%t+OyRnN${IC@_a zWqEOsdYcwk8y83KOPF0^_GKP7-8kNZOw%k=Z(9D&i_OtKo7vtraQm1Rce`<1@0pgG zeVNDI5u2lZ53|$kglg0B_dVk{zeI^Q6H*`3;_ixzqx}!FOU=IAKWa?`H@w*I6;;yp{=OCu#W?$iPi;Ux1$W(8EH=CBf8!W>;15+Oh zysv3-H(7>jFVix!FZa0b7{@i1soFZI-n9Jj`zlP_i!k-J4(elC++CL8oXxb}^`y4=s~5Y>C;IdfdIn^)qggb@(*X^7o)+IJYx> zq6=dkFYaN>XdYZ__9Y(olyQ5-?lNQT!b~zPe=k{PZ{yaQ{S%M-rEvp|TVxk-iD~)! zqhcbRcRjay>& zWgd6AaRtUr>mO(8P0Qa8jmsI=+XhZw)8bw-uF$w;W?$}ce=)AexM~|<&8Fq=BjbvV z>th2fYg*iP8^%D1am&rV(&LJZD>bg!24aV4`I~H9nQ>Vgh=WXv^8P{wBw%xS+%`@&m*$317uF|+wW?$`bD~+3MT!&2z3r)-4E5=PRZjen3 z1*XNlYTQ)gR+@d4$Gv9UF~+sq1kzzz{$4lkSmUxbfebP&?q|kLGj4_1S9;vfjXTb` zW}9f*P0JtuCNb0TvC8zdi6(1W+$!TvFmAcoS9shnjQhHA^)?|jo0h*{8h4^`eJbKi zU(@1#W!yK6TW0p<9`|eGs*I~19%t%J%inK|t2VB;OI4eRGXH+)yCBt7yV&i`OiFcgEEjH_0Z#X{P1x_r}dI?h{Rz zHX(}pgK;yBTWt0v9{09!^~R0033HNZ`TL`B4aTiC`zIdvC*x)rx5y^e#ir$Njd3R% zS78(DSkvO(F|N_LHD+Jyaqk*8+qh1ffESsTKmI*#ra7_7l-mScVOrdujcYP)wb|Er z-228g8@JFV>Q2-0_ZQ<%F>cVgai+lZ239`AZpaoKUkX`e_SGJ@zj3X`b(|MFSZG@Q z4lu6GxPtRzuH3Y^p~lTMZnfFhcwB*TryAFJLF{jlY5B_;H_y283uCUrw75d!+KpRn z_B9??WZZn?7A}nab()sHV&hITuHd4WD>p5!#JJOqTV?ju9yiRmGmNYMY3#4rwEP`n z+?mGpc{=9$nihAcac3E~%91*xy3a@>gM8hjD{0iMayP;)WZyz_^uW zU*&Nlj627;b`Csdaodb z=Rwor4ma)s*vKt4`!bIkV;qMOxoOYh2U4|Z`5SB8LfFVfe+Ubz_j5dm|NaN{IO8}x z$SpDZQja^rI9?`llb**9q-myA?|9?b{p3C|d-Mmia4c?uabzfqU%(HfC8ot4X&k$s z+}IaMp?=fijxz3Y*vPFl`zIbZ(YPy&Tl5k#NQ+I&-z4KYVWYo_mr0?1)8dXc?n>CA z_FX4Sgm=aJXKY+(xhgKsOiJLkfIo6q8&_~-oGCZGp_PANnQQELmDyK&+*;$VHLl~R zIJ3~S{C#Md>x>&TG0qg27WY@nTyNY;v#;{FkBnPnT)SDaar3)4>B$8 z-2c8?>jiU@am}{jZZ|D|dU1cVaeZyWJ;=1UUK_>0Eyk@d`znv?XWXsE zwcEygp=tRWZrnGG8)O^va?|2!j9YBnDzmTgxCO@DX52#Cz;~LKzuS!amT?8PfiE{L z?hfO=t$NMA+T)fQce`;Nw$Wc`TK?`a?hfMy*+##>w77eX`;Kud&A!Ux`2S%r-5IOQ zpu6HsfoU^mR#-chz|8w{rP)_`+#2J)Yh3&HV}Bi{<*&E3<9o(se-LwnOp8-|tcLZj zF#Af6+t>WD8gk7)#1Eu))ABdYIG#?f@7<)ZE}0hBU>r{;x7_S2JZ_e8EGAdK6hDxf zP0L@CaV#d+=N?k1-?X@9<5)~?nc0_nT&HpO8duE>QoU*UTWZ{Wu+d*{W{~=r7N_xX zKWyZdnthqa{mlG5VB9pGfK+W-{ysMDLD=X|e}u0$Pec;81Lkq2halvZn0=|ol^D0o zxJh)3G|ja9O*QUEu+iTqW{)bsvAAQ6d)T{)8dXZ?#Hmv-&i&PX_9Gi#~b$u zY~*$5k7*+_+8# zgtW-C{GDvvzvl%ik%+Jp~*66)Be#UNN%Os zSDBW-vyJ2Skz6}F5~;(qxQmSAwvb$w9f>r^w79E{dmc7&E6l#qZs3e_V;vxcb02e_V;vxLRwR|GXeh&kG+K=RbdlyUoVwUyWNmFV3tn zEslSulj);aWfr!_nNHK#udzuGZm)A^)c>a<5rt}t;ZD@_jltuPm42)P0QaT z#p!WotZ8x0#(iSk8ndtUxKoV#r*WNU#F<5=<&S?`lIdTu%9NiOXDUpKJJYy- z8@Jl*YdnsBKa%OwSY=in8)x*Kcb=8&Iqx$&_OGuvUElv0=f7tVr}qq>8|S}g5U2MH z`o$yvJ%c#CXXw={cIdxn5U2MH`Wu7(dj@fO&!E2{=#P7G8u$7efeX)y>+duzZuFqI zTz>1Npan5kU|L+0ar{mr zlfMq~@oX1Jzl~^(|L+Q}=iPC%i{tO(k@M$!d)&)tzUOx($@%M+IIUa!&Lla1Jrbw& zh~J$g=dT~)w0`hAl;r&NL!8!+KF0a$r8upZ{7xnP^}Y%}kouSw_gU|_oZqb^=g;@z zG~e?(mgK6d^vas2`DF6<8{&o=H_*7HH8H=; zw75%cyzo1qOw&$^b-ihER~pCff-?24jdfqs;_fky-w9<}X7&{x_lj}+ZYWdz^jNo> zmcI{;+t0YZbul-{w76|Hi_7_4QKl7UU+Hl<oz4bBQVOsw5d)qnVvJEj;U|L*<`71PTrP)_|++yR3jO&;c z`|C600n5!@?ZnbeG#;rE{T94B&td|JVx-r(`&bR&U2;=65{w7(w*j>+G*i_^aS2;=;HyEyIJ$BSDK`_;Zvoc5g)jPv);;t1f+KI-kTo7}sOp9x=eeopY+U)_q!?d_o)E396`RkUr3Y+gwQ2XlRc(rbc zt2XZI#zp^uC(&zTUR=lK@iG6;175ex705VATo&90DF23;dna%e#_|70VCs{=RU21r zoWDPPHaz2w$I-`i|c3e=}E@<`yp}K57ipyuhY+2y^F2?rd#{`bz0nV-<@HczmE{tVg6^=fuaIrseP3#x)vO&=hm!rp4WE+-&1knSHg#-C^7u<2stHUeogT zQ{$Rc?T=cl51zFyiF?uF(6Z}~zrWfk8h@Rt*Be*j zG_I~R&L5}ZG)}KF&R;*oY5llboW-koSDfbEYm6(m&rvE&i`#OWIG}5dTk=n2oFwjj z>zC`y>|bAf-l6NO>DIrG#OXd-Wd8hlL!9P~8;tYk4RM+`ZZyunZsK&^ZZgil9^!O8 zZZ^)JH^k}sY8qI0YTS8?OpCi1{l|2x+V@S%#Km3zhutjeXPNze{tWz{5cTt7xZ%9v z_p>o{4i$k31O^QQY zS{&rq&+?ZP$LC;n??D{m(&7-OdXwT1mlg-PJ$euaC(+${5Qn(5IK-vJAucTra_ndM zOS&Gt!0pP?D6Tv{CD*w6Bp6i07x+xH+2acOagQ@u%Xh)auu9Q#@RlH%AD z+;%;PLtI)M;#6-^9OBaAAjf`|zoa-e1GjAt;t-b>hd9-n6o`4smI5h*P~uafnNcgB<%={*vO@65Q53 zh(laj9O6`OQXJya;vmO}UB)ilYy>EqV}#xU@LLsotbG#HGbSj{PiuNpWlgjvoo7-RI)c z;t;2Llj0DU76&=@v-~B+u`RgGdJu=Wv^d16-lRChrNu#x{Vab;acl<;ze16EJ;bHO zAx`xs#UU;&4sz^g`AdppdvLvb5Qn(5IK-*mq&UQ-#X*k!EPqLH>;Ud-J%~eGS{&k3 zZ&DoM(&8Y;ewM$aICccLNe|)>mllUO)teNDxU@LPv7hBHDUO}MZQO%6#HGa{PW2|m zAucTra_ndMONyf}IDUkkHt&i{i$k31O^QQYS{&rq&+?ZP$Ijq3>_Hsj(&7-OdXwT1 zmlg*(_OtvY#jy)Gejb!|J;bHOAx`xs#UU;&4sz^g`AdppS8({38i%;FIK-*mq&UQ- z#X&Cm+|52;NQz@O2GN5!#HGa{E-emmX>pKa6Xj2FM2*G|+V(Q7GX0Hc-dFbPD-pMM zfa6-rM1S}wJd=g6|9H&AF+qRa>oKu>Uq}`rj%DKb49mo_!H_IO9JM&U^JOBppJ^U5 zF%EG9;-ZKff_xSte`LfJAkRd9`$Mu2aqJ6mha%5Jj^|J-x%G~;LfUf>_JRB{FMs2Z zXChZ%{@;x0#?iQGYuEJPgl+v2W9o{8MS zkSs(T$DFuD$TN{U3_?a6pQps#iaZm!3W&?``BB{M$TN`}ZkoqT?sfZaToiF5kSDL? zazC^}+DAg%dN~!T-uoSH6!J;_9&)(R$h-ddUPAsJak#O_^BRz)U%rPC_cZcMEa#Zz zJt2iReBuX^NRbI!%aq>_k{Y7@3qB!>Tpv$j_>is@$*zB_8aec-V^f2&n?99 zJuMT}F%XyI=QQH@+{8q68YBymKYlJHj{7bqs?#A^h&ay4;<65RhR5-9M{)Z(+?go1 zL*(+uOR>Y91zQ%PdiOwH{zf|7*&es2!%cR$4wTy=a{2Wh?{EuXbLYP-j#ckT4tEa9 zll;waxO2hLhvMjmy!^E|+<6|?-{JK8U&PP%xB(7#iQ|uRup7r-4tJBoUFiAS+u`nV zxP=}!(BU3%xQje)ABTIy;V$+#?km(UPdeNsC}-cP|Mqpb=N;}+j~nc8uQ}XhD7Qo8 z^2fn19gb^L68DzFT>;K*-+nk&9Pc<>XTaZI9PUcb-w?;&#}0QD$~QNb#oW)R-d=1F z63e-Ey7S)wI2N~s!(C%MRFvF#d`E}77FKJ<7r3m$T^Ha6Io$ODuE62Aw!3i*#j)Zj zb+{Wmj{7%phdSJi9>@KgxX})G6UyCroclL%;~eg0k1KMxBOUG*l)LkDvBMqbaNMJ~ zT#3WYaJV~Bo^(AL9d2oWo9l4*1h@qbcW;2}bh!Hh+$|1we}G%+a1R8yryTCV0Qa)P zaSxT$f4_3LWdZK@4)>z~r@yby`W_B&8*+e|{l})G0{n3A%k3TRkpMTy;T{ce2Rqzj z0qzKg`$>SC>Tt^gT(!eJ9^e`s?uh`Wzt_)xelozF>-c*r!0GSY)89`6+>MSu?xo2q zx!3L64)+XzB<_a}w<5qj=5WsjIQ{)~*7sb1`<3JG`2eTCw@iO81h|hKe=i2OUYy{~ z{*vja06*OR+uY$^4sbg;+{ysg-{D>faDyH0)c{xMaIXcp5f1lyfSYU_rx~sZN#o^M zhvRc`5_f{bZ580E9B%6XH{Id-bi;A|*DiT61U9p$LF;q z?s13XbCb_0j+Y&d&rM0(ZyYWg;NEk%egW>E4%a`x>HmFWzwFfwhoY$ecHv+^BDXih zkApTMgB^~~FG<{i4#(%0ByPOJ@%bf*JJI3zT$02!Ivk%PlDN|yZb*Q;*x~qmkmTis-eP~8H+Zg+)-ATX-yU+hZXCzIhh!m&cNFqWY@ec3iLaoI6heO+BKMAI9y7W1 zj*N>U?p@@0uKbNN8_SfZKiv7h&m&qBn>2e~Q; z6aD=Kl7)zyfIJhqSy3+LIES!I+>vHuS-a)wZ!IJX5qA{wOyn+za2PBF6p~HQGyj$-Sv$5X$9qymVC;5BG;r@lZ>rZ2Z{xlEK z&%Yt=Jai0>naDjI<>LB2Mc$p)jx`&(mmTgihQs|Qz`f~kys4A?z3p&>k~rRD zOtka6LT((>B1;^v)=P35c-(Ogr}dH?zw6@qJKo`V4VcJngddzYHU3VZ0g3&`zGWiE z?`pW**CR{JvCo*u@w*Uw)|0;zX+WYs_wycp*TChz5m7O>$0qRs{oMwkR&vMlKHzAh zd<&9=FlHukwC&qNj`18UWSazzHgbI-S%~~iM_x8$qr~cYZG3;~7xpg`InEhLoUSi9j=d~I_0}P; zYoqH+j`=J^+zjMZFJojPw-uzjdU>Aq5#)G%vk>{4iM;C7x=)VRHwzJ0kG!}6$TN}i z$7ut~)IO~<(%;3e>*|kex8G4#cS@OIfG-OyYa%ZN;*Q~J<02q z#2xQ&?B^^*{oI7S#=&%lV?VoGv%|GI9OH1gQ;ehk1rEpi*IlPujANTGb2!c$yie7> zR^xcA>%n;Ugz#J?&3_yRw>bVn+;<%=i}NU|w+(q+kNX_1pU2I0xW^r?zsH^GaN0*O zj=dmBajbOwg}6uHgNgq3hPeGa566n**N(q|D0ln0-QnJKIKCfpx%m!-SMHU8doIL6Xlzwr9J-9zp@hvR+Z)_XdRSug#40AXSrK6eJn#C=Q%sXOjW zl#A2#AjkXCy&h*doc34bc7<@=(fB*tIF9E}Axz|UgScD=j#)3cf7*2;cL2oQH!Z-i zxc?x}L~ba=^>+@A#eMGh+XLm@T@Ti~`Pc9RiE1E(rzwtek*7bNyOqOnT)EtN4yXB^ z_#jAk^|DOs7P);PtW))#k38$;@vRUha)Ti*cL9#Y-HtpHx&0vB)ys3Wevlgy;Iv+n z+aKcAdm)Zh??~jC$nmThaAUW7NUN+1bHSNYad3A^L-W~ z?o#9#2kX#yA(w@?@m_{waT+h=`gz>t4yW-#u0MozC}}-nnZ^sb0gxn4*+>BO-(jRSJLFO%xkI3TwV#I5&A9LwK06=t045pV~$NGa=b6I5OG%{FKz(xOyqc9W+CFPL0;|K&BiG?|313bIQCV4hvR*e zg~;D^$kQJ=&J9fT$F^o6;;u(t++fEa?<1Gvm=iZ7z}?_*Lj&B64p-=Kg(!E&!A%ZV z65wujxbgsZi^Gjao{9ZZ1j$0wFT8gY?^NWOy5qiya&c7=6?2?lvJm-OjJ!DBdrb7_ zbGM;P9LEk*cigv7E^byt#as#US%~WWHuB=QH)5hcpW_@L?jZDEFXQ?`XCd-;2lBM> z8Vz?i?su~gao<5++!%-3#pCXDIAo*5`;HvXS90f}`>imIn}B+m$Z=n?IYjMSf;{78 z9mhBv=X;mqoF}f@;W*#B-1i)Amcwzr=Q^Q!?{c^{hvR(ja^E+O=bhznS&#dH!|DAC z@si+bJ=gk#04>r0Mp;|js^L926ijZ=Z>rA9quEC^V|1=acsxO4#)MayM7_}Z-?W0)E&pRY{ZQL z65|bVoAr?E(?f2D9&)=lTz|AN3(@s>5qVw@#<5R;d&%L70^G|EH$1?tbhrr)$MrX< zpDTOFP3s}2&lh;zc>iW0>X%oLXFJ$0nkUJG?xSy5z2rDfyNiQmT(?*cIgV3zzJC?R zOtf>|66e1kdW{eg?RBV^e(8^rg|M7uubXBZCkMEnIh^ia&Q<>X`*W1}_iuOH8xGfE z{X#C(FQ+@4fB&vBe~e4xh5kbKuf|Inr}2`;o#V8R_jwkge*OjWx{oxj=+D0%zeE|Y z3$MrJ=5K4{{eJ!x%GA$#t|jNsf4_FPD;aa3*B+69Zv5Z$+2J97Pap!hts-1&L3C5GtP~JoFB*U zQKmRF{;rw{lm_J@$T{rsc$-I%q>H4N|4>|4Q zywMM$arzGOydInn)X((i_w&0b^ZS{5ztH$oKa&gfpZb|xXx>milMD6VqZTi@q~{~X z&u4cx@!rXz;Hxgj<8EGJz3l(>Rqt2rKdGG@A8uk?8fWXHock0v(LeW29KUX2Is0IJ zmGe2rP4v&UB$cyGZX(aMIH^3TKfmhouX>z()&7(E=l_qxczh;}x1{nfd%q<0e^U9E z^`FEi`TwfRliHIsFMZYRN%H?y-*;cN|D?G7|9$=6-PcL|xxUKz9^Xw|?{+}J`trZN z{H(9>lQjO5{I9R}C(ScS^V0vS-lX-!x@17_5|6lcI(maq(1!AGMrPtZ2AAHpVwEt ze6Q^$_8Z?3uP^^e{kgvUuP;AI<1MLtebxKF^7FsCE=l+M|EeFpY`t0cWQyyYgFKR#;V-%Wr)d+Lg<1eHq%7%Wr)d+Lg<1eHm)R z5ORk}8EV8ha*N8*6W(Ad&!H&4_2q0=e(THGuKd=Qqg{phtuIHr3iDfEj{YjlZ+)&1 z{tNS)pDRQKh57Bz6|#o>0CI(_BESE0g{&h#gj^vmN@0EsxkB_&VSW&~LUdA5eiXSP z^iolN7`Y;JQ;`=(5#lKF;wVBKMP3|5h@;4hqX=;nd2tjWjv_CPBE(VT#ZiPfio7_A z5J#~W2j+>wVlR$j#8K?UQH(f>y*P>yN3j=2G2$rp;wVNO#a@$`MDI7e_hbDD&bNhB(T+IEEpPGB1u{h@;Gl zV;JHn^WqqWILf>@h9Qn}FOFe|quh&Q7~&}R;uwZF%Dp&-A&&C=IIt3-EI$q`MJUUU z18Wh=^5ei_gtGiNuo|H(KMpKMRfXPqjr9m+`Eg)DLRo$sSdmbc9|x8slzDOF5Qn#D zV^Knx7YBAGg;=(26N9@2H<}$_F~uEb2bf>HTMKt;k+(?WMlJFdY22qp-XfhVLs4<2 zVRqf@Ov_14bLZ67wPdE(DL1>Jxi!f$-f#X!98wK;#RD}LV9>p%gJ@qac^O()pfwVk`Btyy9Heb=+<1<0s6DB z>i`3ysOtbDp}6aSQ&rai=ajAk-h^ET9JO5syv#blyn!Xp8j1-6Yn={oUAkI;DFUmT zEVve3Ex@FJ^-Px1DlB9=!1d{B0q%b+U9t?T!kT3VxZ|-{=^$5yRZ0hiRal;MP*jC= zNe9JMSdes3QiYXB2c=b5f^<+;g|$ZqDSYLF2(W(|; z_*G#=(G@PL%AY~7$-sO*ym4O5{8nrv@^3+`@R-xfM%x&YN1XBIdkV6#Md=H;H0jp2Hj(_aArUmd#=E%Ack% zIryDWT7^DnnUQI!o7vFXR@YKBqoJ`b!^fl1?QPO^b6Ojk=45Ib=Vpdan5vpE-txK~ zP4hYr+wd>;-50ygTR?FudCMnOUT^WlP30|}SarRH6StSYY+}jqS3V3)f8oP|;a!^? z)(h|2|)w3~;{biZ;3$}~igvY_*&3G80h)6d?S=BH*8GB~$Q3m5bhfv$+<6kXi zYFr~@v4{7baj-Mbd7Z%f$?FB|k8|GM0nN{OTZd?bmUcA}51{#D2~P{Tb@AgFqeRWZ z-JCZru)p`KL!WyK{IDu)Q-)8@)J&h=I`^c^>MnB;NXGQ1c zqH}Z6xw+`vTy$UOTY~3=M+QySH9ktfAWtwX<4Rc!CTDTFZLf6Ha zd9iA#LkSjw$T+X1p{*`DnpcO}3^Ub?Iho@#m0(7J$#vy$)f?wl!TsFEHcom~(V3C& zuA|5gr@(QuXA>XJA0yBjyn4#atKrYqI?RqIMaR>lpDmfVysM?P^))TEP1Eb5-;&jnNy>mEp^i~Gx?$`b5i5nI{YQN`57)6aja3Uwy6=Ly|xNnQCk&vMr~CW z5@+Ew&UAH&%GD{gRZ+LpR>d7tTXj6nSl2o0@>prfsDo;eal6PljykEfO5GHlHatFi z1XSEvs5j~saO|+!s;J9Q8g&{pTQ0(hs;l#AtGK$y92fgI7k6Q8Rosbe)O7fXI}&xU zD{HITA@d>YI@I!UmyWKioLHHOezJ4pOx(e7zNgMF4IMckKktbI*%hP8(*N9h%b_z%L^4}ql;xk zbipjIUWhK7o#pjb*9DBtx`+CXO6G2IUjSjHntjVZ?;Y$dMDq zPmHsNkDNThvZJKO#U?n#9oa_5k*4D)bHtS4W2&YIkB>% zM-HDfWoqSUr=)W9{M z;nc~aD;b>YKxM8MmAS4|X8tNCj;uO%+^8vIGgG>9yqP)mV-djXd@4L&;3po{SX8*~ zrGIng6i)q_mYR83CGcp^bV3&YhQU({dDn336=QOu+=7@B>j>ILJA0tE84IMw} z#*?t+*V&>$R@;VW;do|`+(qL%pIJ8-MDtcnd`gt>0&PZ9E8>YMCQogcUe}bt2Ocx< zaIVLnR_uyuW^-Mf)Ht`b82>BT5mPcFrerExGnH+b%ITTmc-m;qjEa69)!0;v6|QDF zTbS$06=v40cZ5}w`dZX*hSW#;h4nwiIEu=Q(eK?h_`YHDiC zML!D=^Nh9(KIo~p&0%G{ct;yV%i9*wj<`*`vZ}SSrZkOcYMIm2*48v4Gw!HNV;vfxUFgKAQ*0mF(28fG+RVs?IdCvLQ(M#0 zGCwo22_IC&Y2<-Jr?%F$v<{us(hOg9Ekj2&)y|!bPqkWy9@B7Y&Ge?Blj2Xiqz`Cq zscjwF+AzDSaOj-6c`9~346B;c)Lb*SweEn{Q#1dK|AyJ-{-nD4np21JqqPJ6J5Nzd zo94FE*0omUhBnM@ZfZeX2w*5ard#hm`KIc>jXAlcU2nYBziZAY>)-3T*C6ZPH}?;G zsj~h(W81Rs-NO2JZ!at2mlW&YJ+@EleK=#<#8mfHxUFkh?_Rr}Ti3g1d&Xbyer>K^ z?|#?4e^~FXF~5zQxc&z(cNpv6E4MrA->d)0!dFs5^k#Pbd+vHSxBgvsy+EdGCjK4` zQ@qQ_WU7&&e9z=R(3C0o1tQAbCL7~RfRq}OA45}i!7oNq=9}>U&!X&wUwopRX7V~T zWe9%xk8+;Lo6wX}{IWIWQj_07Q%2$MXHaf6`6o2Rjo~J=m%{Hb+zmmKGfN?8Uglm1 zs_o(*M1C*GFCqLc@_P_ellc(B^Zp7!^D`gY@!z3&{=Xpf$G@jQ?laTuE0(hm&C2j^ zjnm(j&yQ&6)sQ;K z9LOn<7DyXpE@VC=Z5+B|kmHYI?jQ);e<+0ai`$N)aa;*;?*rWf^(b$E%!2fVFxK55 zj5iDEXL-iGFNF85`hm9o5cUV{SqN=Bm%{u35MBe_-xbIo0T~b38!`w&zr1erO`dH~ v9a-e*e;|a{@IVM-Js7exWEY6qyBG5GN!C4I?WCR8ljpc;L+4;4C;xu{G#$$o diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.mem b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.mem deleted file mode 100644 index d9df0da6087a1ec96d92a97a707be08d9d183a86..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 187204 zcmeI530xfY`NlV1XpIt2yoo2;MhRC))QUGAsl$zVkf>3#Rq=>LTP+^3 zXsg9q70==ki`rN`qIjd$7Hw6$Dz!$@s^$MaJM$#(@67^(|DB;zcRrtGhk3p;`+eW} z&FrwiZX)4MH$Adaa>0K7{qBGEzIUn2cmJy$SlHLsha2nLh%^5Es61~bOYW8`&S1%J zPmrNA>6ui?;LBvw%O=uS$_y5+;@^*!`w`x4&_TLvV`fHHUtwB#g(ZV8(3>S4mG9Pk zz#-fZr|(`v@Fbeb_fiS5oa4bC3m6j^o&!$Y9@j|)Pf4|KkY(I1?ukY<4yN(}hj2ff zzUL0XlV~d6u*bm-eDERM2k~50wl~!CSFWssTdL{CR0{`L7Q~asNV<-zn+I`96{qry z>bUw{DxpD^4X5vugSe%Nhtv1{R6>I+OXX|N1L;1#*2IA@#3_|$RO5D3%zVej%y&}E zd}pS~*B)oM_{OH?7Wg_G*VwqFnl6or=g&g&^n01M>)6X<;(0|#p6MQ+zX-`Q-TVE@ zkUZ1f&#OZ6TvJXieoq6Rm-^%h;yYo&)`!;=+(T z(>*>fiHYY=W8&Em6VFRS@=W(}bXiP1uZW4~RUvt*-%|uWf8uu({r#Te+L(AYhvcb# zPZ7MI1N@%ij+l5p7?NkYpEn;5-?N{;)L8!01%KXRZOfD8b5F#?^U0WaE{TcfQ!(*; zIwqbSG4XsRCZ5m6#PhkBcs?H!&lh6i`C?2wUy6xmXG}a_j)~_hG4XsgCZ2zeiRWuE z@%&3nJpURK&#stwz8(|LH)7)Xx0rbTJtm%S#>Dfjn0US&6VL9Lc)k-8&v#?u`Cd#s z-;ass2Ql&dM@&3F4BvB&<-ZL`Js0Hn2tDC@2L3(QK)>(!XZW6J`Mu0XG4cFYNS^BN z1P1*JS&q+v{@&)}n0WTa#Pf@gJbCQOeOk^-{r&ww>NB0Ul;a8a$TUG<1TA3<+V&!^HFd}}MNm1>*)`zBUfaSQGey*)keQr>r44qE++ zYo*%e0KS7hg5I8i9H70+KOwX+U5YhfzPXYyO>|`krRo@;rkBy2-cI|r}8~# z(5iaPe`82|G#oX;C|-H&cQ9! z^ifEjJQt?xJpXA-JbPo}`B{jb7-zEIF$4yjc)SDZ5B@wvPdujF(sG{vcSxS;K7W1@ zlIQaCQt>);=HhB$G5>0`oP|E2r9P!PUn;Pb*j=dW?$dj`JlAINi;GaI4J|F1wp*|<-)9ORv`vyKQ1o3@6X1)o$5IV$3_kpY0 z=Q00h1^m1)=rcRF$91?(eSaNZBp%|#?c$!R4&WL1`fDK1>to{itMEP3@^j*^W8!&3 zNS>o*;Nm7@ZphCAvf2vMjcM{6;QA%4=hH`H=KEI6d{-T{!VnpB!4S`@X*myU8xzm% zV&a(>6VL5q;<-bbJO?u2!4w;1Ss&i2_JRS#|Ab1BaySlb@&>GrG$ z$y2@0S@7#W+3Ed#9?Ov-c`ol~BKYgS@`p?P{eAkhkUafk9b+^t=g*q(J)u0{#PQDB zQo*xg;yGJ9|2^nPPgS9J?Z94;(8v9iKjyhf?nfj~Q^Zo;5; zAPdHZ=$kk{m@mD8_!h)u+!n^fvnVE>#UXj}SQ+a1s=w>$l9+f-2+5P@@uBvU>+xK` z``u&WxkpSq_l$|>UNQ09JB^+g^Xhz*JOt#$h_t*O-QV%W<1E!ZpO10R9M^l8!txyS z#TW14ov*1pFYpVdCxvDEgFb}b-Zy#PX|n&#JhkU7 z%In0r+@y2=;_A+~fxcP3-Sm$4fgb$5kUa7C!9dWI7PP4x~Dto|mTIGY;^4C#Tebr}%X;9OG17+Gm?oO9x)2 z?MT||dhQgtAN035+#a`;>VBsDUTCNjw~KqOYdyctbtt!$>V8g4JkLs#=LPr$wM@Lu zNsRTrm;KHm;4Mh;Rqvn7e5M1L4rDrz=|H9fnGR$+km*3C1DOtdGdhssM}x#;Y5MuZ z{=7HZe=zysWZ91_sU9E8Z1+=fj~$U}>A=hMc1ecq`A$qcS3i;+34R}>pZw*b?Ds)` z1Hwhzg3wEsZq8lOAF#B=|UJh}hUwcn?QiDzw0 zJkJQpQ~g~Ihk$=Yy5ilk>+S*GPoOS*Pdvk@$H` zJexxDRL`579dy3~><|83NS>p8E7|Y;J@hi~W#iMISr(|7TVmq5BqUEZQTmyd|Ihnw zO#Y8>x0raAh40BBppFW6md6pm{@{IL;<;~3Jj-L^xnE2?_m7F^0WtABFeaW8W8(R} zn0Ou(6VHm6cutCm=fP?6oF~^1xSqfdZMf$TnXAY=UhhbiK}@3$#bH3e_wd~qx`b}?NRHe zXV&w6P;IQ#_u?{m|3T$Be$Hj|US(C!a;A76D?Iuirni0E?d5S#k;lXv6o>b?BV^n7 zuQL4a*lMf&K>fp5-{;=`PKNS@89ldI&9mA;JkOCF@6i&K=TXYD(s(kX=MvxZ+v0h- zIO09SqVhaidA5A!_LCVs=VWTR;v-?B@|>bPbBrf5dX60FS&b9VF*3Vegq~BCXU)fMKbg__!oKPFJ3J#*-O6 zzw|wK70+La=f)9wo~S(Qdfa|8qvs8yyndF6=N&S;Nrav!DbLOiT~B88oVbqXxx08S zmf1}s^qiqQ^NlAndM@=n_Yluc@!Tvz&y$sB{Xg7(GNb3hb-jM>DW31i?B)@Ao}xUv zK5#vm(R1(hJkPzv^DCL%B0|p^Nx4-n6z#dGTjJ?ALTo_AbNX7nu1@jMR{&l>UE zCPL4-%Cp>fGNb2fzUM*W`D5|iHbT!+m1k48+fQcnJa;3npB3VHg?Mfkp=Yh~?0wty zWJb?1_~A{aN#c2vc;-duIZt_37*A&Oe8KlTSUevPPkf}FXAb*xPwsjCH09a+mfKHe z^qjwmXZ3yYd|Etr5Vfd0PgkCOZ@Qk$=()|Np4B1Z`G$CYJ3`MhlxL;!WJb>=zUQIh zxl}yA6QSpP<=OIgx1Y@DIcGDkpNENOmaK>O3X5vLpQ${vj3+aCZnn8+b+~wLBJ1rK zq32o3v+8edKbg^UvF~}hc`x##&GE6=tr*OM7N zr;hged6szM7%LPpZ%KL$y{);PKT)1J#*-O6M{em^)rse2vR+Yyp64phn!mdJWJb@s zeb2MS^F~>(I6}|!lxO>2Tu)~7JbEjypXZ3@eX?Fjgr4Us&s^iljGn7(?RoxKJfD*F z#zp8^uRLpCbNk7Rp11g(KM~J2WWCY|Jugt69e;K`nbGsmZM=S-E1sXodgCMXyij@O z8Bb>P{L=S4PdwLO&lk_l#dGHfJug&kM!#Nb&q` zgq}ZDo?V@;Co_8Py}jpok$9dgp1Vcp*`Pd2jVCjDe&BmvES~3xXIX@vmnzSOm)w3b zqvz#2c>P=;o>z$H?h$(8x`$Kui>@a#dhYsd&+`)T{H=KI5uxYLlxLaoWJb?7eb1kY z=Y!(8XM~5qe&(JbRvZJ(&c9sV|Ma9e=eR|if4I*o>wZ*3ggL)o-g>Gmy2hKc+g?jND&)ylK4!}VlF&uzwfp1%;!W5n}-2tBV+o|VRv89kTyo{i%9Bk?>iLeGWD zv*l^GpUmhvr@-symEw7xcutJa^IGMZWjvYDbF)Iv^D6PYN<6<8q317^XVp_~Kbg^U zvF~}cc-|_W2Sw=Fq&!=fxSq`DIitwy=QZN_uy|HP=y{#;%r>6P=(&Ee=ebZkUlh+t z5qe&)Jgc8{`^k)+i+s;(#q(Y9JUBwnUn$SFCtOcv^qgAa_4AkF`IUI$e?I$yO-tBwdE}q+p=b@2#CX%nW za+kF~=6d3_SWNP(x5RH$JxzvVhX2E8R$Q&&n6d6#O1-^thtxem>OM>!Cz}0}NZh16 zJKEj4nW66ADz4dZ%ux5uimNjmGuC~>c(2MkrS9)Z-G@h5_wSTv=bzlVnW64m6xU)n zW~lpC#nl^*8S7p+!K?c&se7{2T^V8Bw<*uAN8P%aq3#yNwHl5Y>b_lZ4TfXJx-Z|^ ztNU)Ld#==dM1*zUp**`6yLB@|-FGUk&2Y?6_g#u>G#oS5yFMF4#P1+-S|Bhr)I-3W8L$=>s8q*b>AU%A0;bBRrmeMv+rTIZf2OMNcx*t@YS;mtY>i&b`x*l@-!whw|DX!IU%vkpf z{IiNo_etHaNZrTCd{q1UA?2BEJei^HhZWb|=GM&&bw8rGHp4Mv-BWk>s=Qz7{)g24 z!wBpCqw>r#p3G49V#W3R!L6Ga>V8yl?S^Bg#*-Q9 zZdY9IgKpi-Q1@es>o6QM)_v%nUfqkN?hT~wVV8sj zorYt^x+m`C)%~Eo+ z%ux69ipwz^Gt~Wp;(G3L>t@Efi}&^Fen{$`CUsAZuYf&1-7hQ83ggKPb-$vxJi{?V-LERHuhp%a8SCC=Kdt=?!-%?z;;h3TBw-uLbIA*MSm5E;6 zf0DZYB6Xh_Vcp%zv-U2xZf2uDqJDL$;-TzRY^>?^+Geg}UDz3_K z%usia;z|w2jCFr-kXQHPQuoGE_sJ2~{ZHlDaJySKGt~W&;;IeD40ZoYab<>M#=76E z@ald->fTZ6#(Pb9OJpYPll%JG$I7#@#jTqe>Rzh28pAO|-Jd9~+;GfT_iK~9DxZ|P z_mH}4_YA_r#)ICCR)rMon zx)&Yl)!iX=UnX_WmIsJxf3KuG+irI2W`?>~R$Qaun4#`f6jx(7W~}?}!@Me=k-Be` zy5~e#_o~XX{kLx2%ux4gifb|)Gt`}>xLU(8W8JqL?$!OQ)P0}SJvYL-S67}LH@S5) zL*4j45>CyAV}`oFrMNo7F=O2~RC;wkCv`t1b)PB^5Y;$eQ+akayLB@|-6Iv(VmM}~ zdo9J)8;%+4UU-C8|gmtg2JiC75*3ArcXDhDNaLiEmD8)4xjv4E|{7A3v z7o_e_q;9-xXH@%p9p%}5qgyvK)V;3a+6>1Gb+4zmM#C{<-3xx;Rk?xu$L$*OW~g`% z+VJW|PtjTL{ZQ9eo;^3Xbu*)9@lne?H;mYG1Law6Jegtt9I2j{bA3)POBViL{)PSS zm2t3CZr984u(kAC{)8_19=-o9`W&Oy_XpxTMtqm;if0elV8MTjbjBmoflLQ79Z(%O z;u!BtCYKz^X{>TBKSVP^DPbey*Zcbbv0ps>I@jQoXc4n>%*@|@D<9)#~&8&7u!{+iI zO|8=Z+a*gTZ@v=B=c;%=xqJT)!ea+M4Zm>52{SyuiPo~I+HlNR&!XvGU0X{%k4Sij ztm#&|Y*T5NamPJA1B6rC6>dGq&XJoP_xsAYe?dHd%oQ{DnGR$+km?}dAvigW++*E6qFJ_@3Vl| zu8xb{;}oA0gb8c#nj7CY8;%(`d=?I;I>RvohtHznRB1S7;P6>6obnCF4BSS9 zaQG|;PCXa8^)ll)EI!p6btmC3i{xVeQCTsnaX6Zia$jydnW3I76_;x`X5h9`T<-;L z-ORvkt+)=uF$1@a;+hS|4BWPgt1}!kaN8-a(s0bc;-$LiS6$tQ*yS zSyy@1pX>G;8LnyV_3q|!y*pMs?^5e#W-}egbRg4#Ob0R@_@;J%zZV|uH-Puoo#8&4 ze^*}DDw5~VCxuHU5&XWV(09UJ(|q@No*AwuixgLDIA*NpmY;Za?IQK;CiN_lb=^wU z#{S>4?)7AW+Fr*QZavKCdFZ*G)&Al+Nj#ql$#Znl$@MH&o_WTT89l%BJr5Aiq)_x!$iUMZf>M(86t+w7X+x28d z&*BB1)rsOcMm%4Ub;I!Vlw8k!lxMl|M26Q$=*iy~R*Gktcs{1q&CGstJJ8?H4X^v- znw{B5KH*|d88`3Ag5>xP>E{Og9DvUt;#4!!JN~$gUbi%ON7<(0b&z>*AaHSYO=89fiZ)Uz5bo=1x32eM*#p6>YppFPAW&v-JU=a;_cmg0Gmc>Y6H zjLH+AJ;bT*6t|zqaIUiFv18>tcBXhf&J|;Jf74}NcUSklH&0xbI8W;NP`;0<@4Ks> zWu5Hy9T|FS`#wYZ-YA|=sC6^5nGR$+km*2>4vhAp(LZ<3a=G#s=-0@3>yFD@`HjqavcET%#ZisR165ZWtK4zPjGhaw^L)1y&uztX3wo+; zq;J-JKA)&I-19@%lNmjWulGE+63+gj*igtAm!O~ zjN4CSIOoul=c8@K^C5fNc^!)NS!#5tkuRQCHa6OS>%%vKS7t46;Q|tCOyLo@X zAj{*jUXbJFrsm-vkB6v!Hy-ZpXJ+(V@Y~@VkB2JHp2J*EWEgX)#^Yr&9uuCn+QN#n z{NJS&lYy7SWxXKB&G?&#e>@(h+MRW%yPuiSbF*89Z#*8ZJgW|IJ(HQJr5cZoG9E_+ z7?1p)JM}PuX5+G6kmKetfBz2W^<$;#dhNmPer86`TW%Y^@py#t?3myWTN;JOA%r&0K zFy>N?#}*lns|Fa4IcobunT^YOL5`c%TZexGT89hI^clgHRvC6YyU)K{E z#$2lLc#n+7)dGyi4b}FCG8>omf*dzj-Z%W?ak6TD^WN@$W=7BX4|u-A@p|}i%Cm1T z*Ap4WT&nT-fQ-j1Pg`wa#n}y3Toh9#;=A9@kgfAIfZ8)(djn-0Sb(;T(_CRP*c0-2Kdqo(mru zzVUdx^6c8p^+bj-muft=%XnNPz<69wZGR}Uaak|OakJmUUZaL{JXWjb_wMTMXJ+&q z^T**Ek0&V43gd|kV=mQrd`iaSw*rjEb=CHVG8>omf*dz5`}=n|$K!O>{OX*kRbthYdIN6+%T=Jc{4^Kn@($Z_+Tzki2w zJf5tYUS8skM`rYV?TO(VkEbZlrefC<8OB_y@z^EfaV?HVwGBI)Z5IUmJ}&D8Ic_d^ z(reUkj>j6+_trvpKQp7}jHiZgJkC^}*~SwY#$2lL_?C>vwF8XDwbk~AG8>omf*dy+ zJw5#6@kgrpd1Kx2$c&y}b`0NmoTWVL@?B447;~w{omf*dzD zJv02{akgrHN?$B$$@jtVdy1^Zf4T-FP6+>C#I z_{Za1wHLC!^xW*l;Tw;qD$lBKyPn7}=2DHvUKud!1Q?HNs_hSDHZJQ0Ic^^F z_wR6CKh~<|*KY6bXJ+)grE~bk<2>crk>`3M!0=uMOXLoUc4vwsJj@Va%l(kE_dgTtC2gjgP(=KaNM6l)re|93r8b-jJGyPuiS^XRVO8;@rx&s^h)3}Y_Ucw9@y;|2l7W0u>@~wXOd8@Q=qjC0M$JJ06+Q^Mf~rZ#EZ<{RFAFjsm-T`iH`~AEHHzob;ds9OiE4Vz#_oP*M$eJm!#5t!Rh~5) zxt_={=2DHv&15`ov}`=8jhNY0%=baRjmvsLj+=Y^{X3lF@jTV|`VHOv%#5B3-yOd3 zc)s%N+Q9WhhB23FJZ>rDapM5vab>mrq0Gi*y&%WUe(!mW8qV=pubSVxp1Yr!(R0iP z!#5r;P@WaW6B))_s`0p;jK@s^jK`JK_J=YXm-T`iH!u79cR0u6g{t}0>$u~Q89f($ zIDF&rBIVgO%JoEsF_&sQen-aRrW}u7?Aq`3BN6p5fo9{fUXbJFgdVS&;T(?_tFCvh z?e1r0^qlyS=Q|uf&*+~+@{K1ljJZ_fajcBT&A#rSQN4-#Y>*aw=I`I(9FLc%MwgFt z$0IX(zV`9(jmMuV&!#n9Ph=Q#_I;A^zSAW#9{;J<&CK@Sfzf_+!BThhZXq2kl|Fth zc`}LM{f94Ank{R%`x_bdsome($^Je-JU`;PC(Y>h`H;x}!u@E!hjem|E1Q=%%;Bsqy0^e{mhP&Q6nZx|G$tts&Vo& zRbI!c?l@sa&qM$1`EDV9r8Gx8zoe(yM*3#m_i_HY+HjunM20bY#4(;9-*@=n#Mnf= zc=Gi?wTaAZrURJ{#H|Bgc*i%d(|3}0KEFtg@83%uUJ^z@?s@2H^$<-7_k6i5^F7b) z^Q`j4^IGw2jnMNN<=NZ!6+ZH%kBgRNzUP>P_fz{g@w{C;?~TxNA*JNL!gwyre9sqr z&rwY}$aZ~Sr@y~eEO`E4&=qs8mb zA$#f1pZ%5ctML7}h#5U!@I7}F&+mxmYoU6MkbUF*bv!=D?AMf%`{vKw^8hn?&d>7t zxs!PACZ2zZ(DMf6+1KlOB1@i=%=zkva=toPJnvEKW@gjhf$dlK#s$v55zlkgx|!Kb2mV((aKW1Hd2YMJq!A4={@bMB z@XmAYae9|(Ztp+c*TD@q8#kPyI7Oh4ExY&lh~poyGGW@q9Q!PrP=) zskz7PCo_7^ALaFP7x8>dJRgx2quTFy?SfO^hps0xdTz6h=eetR{#iW#C@V(giPtVT zRT@uZc&?x)f3Dm@JUUZ~JST|f+Yx%UD9>!;$&8-s zZ{&GyC!Q09PFMbQz zfAgg;pUU^i=ao?Yt_qLIah6E@&VOv&RleztGiF%h7RBWojv2UH6_;f=WZ38Sda_Wi zCohn?|G;(YD`WL{TBA4f_9L$kauR2cxJ2rCN9qal`T&orUn_0=o45bCj9%w%?)hyZ zURR6PyP-0_7B`+VLr9>Md&yu_%)$7{%E=y1hRvtl(KsGYgbbRg4# zOb0R@$aEmnf$%yob_@6VYmE`361U2A&V}-jVP1a)tq6Abodc(ym)+|iX5bzoB=_xx zV+QVF#Wfj@8MsFjS8F(C;P9F=rwYR{1Gkuv+~*mN8MsFk*VpOR%M9F~6xV4uX5iWt z*J3zk;2u+4z2TUFdt7lV2JSh<^}guV%M9G}it8{OGjJ~`uGw(R zz`dxrI>Rvo_mbi&4aW>zr{eMr#|+%dipw$_GjOjcuImN2FU-K^Mugj0^;n1RFJaB%8*-mRAzxYr5EeY@e9fqO%7 zO@?Cz?r(~#H5@Z=c#WJ>h2fZi!)w%>@(jle99|>l)c2fQFEem>jh0iV;h2HLYowf7 z495%{UUT47Z#ZV)-X$dWRfb~*?mfko8jcyb_Z63IIA-8JP+a%3ZeN&z`-kG%495)I zhl*=795Zk|imNdkGjRV@T)E+xf%`~txrSo~?q7=Qea5Yq8Mu!X*I_tj;Fc<`*>KFj zeWJKJ!!ZN*sp2XP#|&Jr;_?m04BTgm%Q74@aGxu#tHbRJGjRV_T&v-jf%`&n4TfU| z?n}j08;%*cuM}5iIA-AfqqrQyF$349xSprodYOUK7p(1uV+L--h~*n>G8{8-D=Dtl zaLmBr^V2z17>*e@{aNaHhGPa!f5vs+Q*OP?z~OUXIdvM28MrLPwHS^WIQ?0j^@d{x zPJf1CmEo9y!{>}~Dm5H4aQZXHvJJ-!+_KMtlKJi>ZeN&z)1T4PW;kZx@HrNo8V$z` zoc>IU8pAOIr$1|;+;Ggm>Gy!oH5@Z=`n|b(pLFYG22Q_ca);rVfz$70+iW;y;PiWp z))|f&IQ`y_m4;&mZuxuiB@+3DV+KyYS6Y_gn1R#pVb%47+ZSfw^m_}n8jcybP1QJP zFdQ>*`n@=+4aW@J=4yLohGPb93&rIajv2UI#q~Vy*2@gsXvMV~jv2Tu71v}qX5h9` zT&>}lf!kVf6^3I5ZX3nr8IBpaZ57w|m|HJ1aN8-a({Rkdo6QMaK(yiHXJi>C5o#v95Zm^6jy0DX5dN{ zmv1;`;KnO1%W%xVO;B9dqi$cAf!kSet%hR;ZWqNh7>*gZT@_btIA-9!tGF`5F$1@o z;&Kef3|yJwdKSC&G6T1};@S zR9yEXZeN&z`<~+3495)IL5gcM95ZkgimNdkGjNj>S8h0F;0{(?uHl%0`@Z6OA9m|y z2JR5Wbr_BrxI-1!Y&d4%4pUs6;h2FtTyd3#V+O8LaruU02JQ&OWf_hcxFZ$U^^n^a zX5fCHxK_h419z0-8Vtt_+|i1wHXJi>$0)AMaLmB{P;ohiV+O8DaXoErz0ANJtGIT< zF#|VQaZQF}2JSe;)f$c&xG9ROFdQ>*Qx%tIIA-9cDX#AiZoSOF9j~}f!!ZL_t+*D$ zF#~sk;_3~@4BT|ZRT+*MxDypuYB*-#PEuU9;h2G&p}6h`-M%mbce3Kz495)IDT-?} z95Zk=imNdkGjKB%S8h0F;C`gIT*EN~H%oE7i`;sdft#(k4#P16H%D>JhGPb9uHxzp z#|+%5imNmnGjO$v%QqY|aPt(GWjJQwPE%ah18!fKfjeDst%hR;?hM5>7>*gZ`HHJH z95ZldDz40M%)p(cxE#YV16QZGp8MT;nSnc7aqWg<2JRfiH5rZ>xF0L7)^Nqbr_BrxXTsSY&d4%u25W^;h2H@h2km=#|&Jf;_?m04BVB9 z%Q74@a91g=>-TP7n1Q=majk}92JRZgH5iT=xP^+VHXJi>*D9{eaLmB{QgJzkV+O8C zaXt6A^)dr@o#NUJ#|+%{ifb|)GjP9BT&>}lf%~=MDh$UA+zpD$GaNH;H!800Zns`$ z;C`dHPQx(+*Q~e}!!ZMQlj7}M#C`!*P^%@!!ZMQyW+|X#|+#Zipw<|GjMk*uJ=y2US{C#Qe21O zn1Q=nam|Kf2JRlk)ftW%xZf+T(s0bcwJI*(aLmBntGFz~F#~s>;=1l|`@#&|{fcWf z95ZkaD6YY9%)l*DT(#ktfqPJKWrkx0?hlH~F&r~+ZHnu;-L01yxQ7(iZa8M(9#&kF z;h2GYL~*r-V+QVzimNajGjNL)muEO;;2u?6UyEBWGjM-WT&LlffooS>i{Y4odrWcl zhGPcqam7^`jv2To6jy3EX5gMwT(;qufm@=u?%UkHFa!6L;@S+y4BXR-Ycw1)a2<-P zF&r~+&nT|kaLm9xtGHalF$4FU;(Bj&>tzP+dBt@Yjv2TY6xVDxX5d~_T%F;VfqO}D zm4;&mu2XUOhGPcqWyNI~jv2UD6xVf&+ZSfwUR7MH;h2H@v*H>I#|+$SimNspGjM-V zT$$mRf%~iCaty}|T$kc{e&^Q94BYFAYd0J-aBnEC$#BfT{Y`PThGPcq?~1E195Zll zDlX4(%)q^+xW1d+dYOTHTXCI+V+O8UaV>^p2JRij)f|;;h2H@P;rfhV+O8AaW#fx2JWAVD>oc7a33iy*Ko|h z{Y!DZH@WpP1NX7wIt<4Q+)~9g8;%*cPZU>YIA-8JRa~Xvn1Sn6T)yF$f%{BxS%zZ< z?sLU;HM@Ob2JYXAYc(7*a9=2{!EnsLeW|!=!!ZN*mEy__#|+$m6qjQ-X5ji1*Yg{< zUS{C@w-&}+qTO)J!1-_Oo8+1d#|)hRmbFQ))^NVFrZn5r7T08IBpawbk|-4aW?K|CU|YT%yKs%)t3? znU&B-E;g|tgUvbTbV+O>33!LO5*BOo( zIRC9(l3bA zPYs4+2E>1>f+SgOIA-Adw+u*fWrkx0&i~iDB$s12X5e!1#h-evck5*aWVGVi4aW?~ zmWpdK95WzWDX!LV%z$jIxC+BD1G0_c@(jle$hL~>yUwkb8IbK1*J(IrK=KsVVmM|% zwpU!e;g|v0L2*@vV+Q2giYql7Ga%nlT(;qu0U4vX?k2Y{%z*5uxHiKv1G1Ci8V$z` zNWS7~495(}SjCkajv0^w#pN1~8IVH7_5RYWml=>E#dR2t8IWSdH5-l@kP^k!8IBo{ zaf+)n95W!Lipw_~Ga%y?mt{C+Kqe@z>sq%j%z*5yxK_h41G0sGfV+N#5aXky&dYJ*)U2*M(V+Ldo#Wfj@8IU~{S8F(CK=x8xh2fY1 z*;{dWhGPa~AI0@uHXJh`6BXBewc8hFK)$EAHp4Lka**O04aW>fh2m-q#|+3M#g!Y58IXe&muom? zK)$cI-mBbtnE^ROaUF(Z2INr1H5-l@ki!&LXEfjpAwy#|+3!#g!Y58IT_-F4u6(fXq@{@8xd2%z(^RT!-P90hyz? zX2UT9GFNeRhGPcgRK-;qjv0_z#pN508IXC3%Q74@Ag3v=>*sD?m;pImajk}92ILII zH5iT=kok(MHXJh`XDY7DaLj<5rMMi!F#}SkxSpT6^)dr;w&L0i#|+3hifb|)Gax@! zT&>}l0r`pIDh$UA$hnHkGaNG@=P9o5GPho4K+acOr{S0ZsaIT!;g|urKymekV+Q0x z#Z?)O8IX$1G$WIm5XgFp-8WdM!IA%aD zRb08@m;t#=ak++L2IOao>uqrBWd`Kuit8{OGa#2MuGw(RfLx)tI>Rvo@(aaP8jcx| zM#beDjv0_E6_;f=WnclN2zIA%b8rMOzdF$3~z#Z?%N8IT(kmuEO; zKyFlA-vYN@W%Q3S3o{_MDz43N%z)gcxJJV<1Ja_n8pAOIa=YTn4aW?~9g52}95WzyDz5h; zw_avI?owQb;g|urTXD^XV+Q0N#nl;(8Ia#AuF`PKfV3(u-*C);+^e`O!!ZMLpW?bM zbo;^#$o-0IH5@Y_4=Ap|aLj-#Qe3s+m;rfEab<>M2ILQl%P|}?AZ?24xxlTL8IXq* z*KRmwKps|Hli`>Fc|>uwhGPcgkBX}>95Wz`6_;l?W*f`7ZlfQIA%az zR9v0mm;rf7ag~N+2BcGQ`G#W#8%M8ftifcCfx8hn1#|+3jimNvqGa&COuF7!C zfV`);Qo}I=^1kA-4aW?~2a4-H$L$LXETQ9RDva-Pb)NZ(hpMe{pxF*9f1GkdmY7NH>+{%ipFdQ>*t0*qdaLmB1 zs<^&0-FlgUTTOADhGPaUOK~lRV+L+@#nl^*8MrkRS7kV6;J&4}Qo}I=x2EE<4aW@J zNX2!}cl*K&+**ojGaNH;Yb&nNaLmAEE3U?H%)pIOT)E+xfm=s$xrSo~Ze7Lop5fNZ z4BUE(>o6QMaO*3s*>KFjZJ@Y1!!ZN5q2ekH#|&JK;_?m04BSSF%Q74@a2qSG>vXp- z%)o7;xK_h41GlN-8Vtt_+-8caHXJi>n=7u&aLmAMp|~8wF$0&YxSrG8dYOUaXJpB2 zqTO)Jz-_6v*JLj+t>Kt~+gfoIhGPb98^z@rjv2UZ71uYekB)T#@2B495&yvErHy#|&JF z;_3{?4BR-yRT_>NxKhRC8;%*c@ruhb95Zke6xTJ^?F%z-J1ef$aLmB%qPPabF$1@& z;;IeD4BU4WS7tb7;C547j^UVrD^pz09JgL(;C5GByWyCD+e2|phGPb9PsP<5jv2VU z6jxz5X5jW#T%O^Wf!jxMeY4$qnSt9^ah--^2CiIjErw$TZa>A<8;%*c{S{YbIA-7u zP+Y0un1MS`aoL7r25zF_x@Wn4VFvDdifc0*GjInfuF-JJz*Q)&#&FEQO;TLB;h2Ft zSaG?AV+QW~itGK6TQ4(khbXSYaLm9Rs<>vuF#~s);_3{?4BX+0t27)laFvS7Hykr? zM<_1KaL85>W|IFwDof=9WpTsj9X^ol{OgxcnJLqOOb0R@$aEmnfp2C9t~+1-)3mf; zbOWAYmrvspqZ1Fw^X6%?C`pF&dD(c>P4L9+Px;fm>liLWzNyNy{hZ5?Z>I8|6TJ1g z4Eg3N@9=XjW8D)QLCVhvQuk9*_vte4b|@LA>B~w9JlYn@tJL@6GUT}`&+&6EqxULT zdfwj_?^nh93|TL1?=6*AUA5P5E`!ZhDzEZ$EZ%(muPon-kHd_9n_cbs6^q|e@tZGTc;iBUF8RtU=P1vrY2N;!Co_63_B~6)Gi#Lm zZFq#98!6A$sjeq8dd|4U>*qM}+(bOjiqLao<(X|fnbC9og`Vd+;<=-E)`jfJ{koF; zwrRBTte)cb6B*2aEbv$2J-0EG@6)v%IPr0L-=9ye1UQB)M}Ff$aybkKTz^%`@gr`3 z+n1={X*Ef{CAa?k)|70Z$453$E<-7?{s7zGK(c}A-$Zis@9Wlw+QOK;qh;_dW8Z`^ z1%3RXau^4Cvfr~FyZrzA{ZKu%W2*UY+V<2-T&dd8@3NqM`nucyy6dT@gnr$F1~`8N ztrOeCW83kJ<$4ObJ!}WJpgcI<^yBq6kN)Bobo;0cx1czlN~51?BO4fm=`>&yTnTLwSDKO8CsQVh7Uod>wSZ!2tWMzvpT0*Z%Us z9%rze9mqVtvlFTBGtcj-`@*G}=XZ7@^?l~~J#}BWH1qtGo&!PS0cujbq?FW5*B541=?r{`!`|0MS zJ;~nn*FWT#`pf(C>2JBWwdG&a&HcCZKdj>=-TlgJZ~0i%bD6&AZY-bA^ksQ3^xSau z<-g^8x4ZoE?>f1?C%4_?7kih>tw(PA$S>`#m)jR|`+@wha-ZDRl3%nJAGDs?GYZ~S<7QIRZ~;4UhzDwr_VT~s2A z3fx8GWl^EKXo4&%au$_{*Mwqskv!sr5_b_E(X}tZBf9n_(t`=EeMzY-a_vjTR~3$R z?Mo)eBG-Q0SXt!Sk1LWzuKl=TS>)P}E0IO6{kU*S=J|3S9eAc|;jPdYF{TBg#0^i^fYQ%wQT{Ad6i4@$ho($HU9D zA1_{ouKjrNDs=6~OTP+T`+`E*exa*hP$&;j==v8F;t|{e3JUQMZvP7k@fdCi1%L@aG6iFRLrj8=1quA6T=ZV5%Q%AAXQEcicmO6?}9mP^dv8kh2>L@mK z6iXe&rjBB%quA6@EOiu{I*O%^VpB)4)KOyUkn>7miK(MR>L@XFlt>*Vrj8P+qr}uv zB6XCQI^+~oSYqmsb5LQ4sY6aeg(apAoP|nE9XKhBGj){8_QshyO2L^ra4e5Ab>Ltg zXX?PwJkHdC!+D&k1IP0?QwI*{ai$I&(c?@VIHXHW9XO^-O&#NyRfTS?1Ou&q%V&twWxYWSOa>K?87%spkwv{{KgX4FnTZMK~D-G<5eSPsLJr_Y@2mmnvx0(msAIC(}Wkc~|7*T&U@ zKU*M;c3UcE9CPr>c|;D~sWZ8DL6zHZxnwbiv0Mh2gIKNt%poin0pV(oz^1J)jQGG6UrC&N{ax>?6B+hfI5CEP8tubhD* zPqF4~Ay2S!uE1sRKJ(l`CHqv)7?Wqrb+=GZWsYZg3M??EdU+NrFauJacni#kOfH&` z*y9lQ0c32*v(x0MQ{Bb#xbDCZb92U!3j=e$kYOlii^)e76&$m|*4#6ObVkk>LXJH~ zz7|eMejT6uTAKViF8Q@2`L#ItwJ7&El93gkX*MQxo$yn-Gb!01<7>_ zlIs>E*DXk{Taa8gxoUFF>KmcpOhcRClai(>?sED_{6-^RA&kk$LY4okDKm6CqEk$$l1pKL0c}DWD|+% z8OP1wA~`wB^`W239+x5Ieg-01C!c71RfpjjZ# z81jSWUOVR>HhbEv+4(2Vnprbv&a_$id)G{vd$PQOFgyS7>8DPfT9ZG?du4(5JI$Uo zWp=*2>`+yhf6BC4F4iwRoKiD$^4!_ecA9xqs8C)BKBu^lus$8tLEal$ulK%&M6&W7@3r zZ+q(GIg@8jo^wL_w>)cF_4L^?{;Q6kKI3bT-2V5Xf2lC-l-bj3PD#JLTyLa(ILo!f z?77E|F7L@(9Vxob+#b-f2_h$4`vquldB>|LN_x>2pq= QJk#$ue*g15`NjDE0Xj!nivR!s diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.reloc b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.reloc deleted file mode 100644 index e514512d6622f61747ae80ee26828fca504f8fbc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 53329 zcmbW=cl_&Eedqr(3=F25Zn_wn=(^wAbg80?F1mn_@h}Wy2rv#qSP@bT3}8xvAq7Jq z5eW;X1Vm^80+<#QN>B(ahE0MY1WdvvVo5RVZt`>H^LpR=lfL`NI^hq_JU{1rq>m+8 z(zUJg&1-kr|Ds>9c7ZFr!W+EC<6pJ${XeyKbUAAWxWO?VoNfIH&hZ3Sc#4zD+xQY^ zc!}K=tiQn?-r@!Jzii`b9N_dEix2Sxk8tmb)<4HS&T)ZjT;ml^dzRn(Gix*4$L>lN zkFbwpT;L3^@B|O9Z21MA;u80-V*MK&;0Bl2`-+WQV;_&MYVj1$@DLBKX8ki9;W@5w zg&SPs?CO^9zH03p_po;ji-$PE5w7qUZ*Ydkeam0q87}bPn%2L@A>QC69{e}PVGob4 zW$^@0afsC0^nsj&Eq=8$7~W++hFfHm-jo zYXdyTL%hTz9N*Z+&+!=Nc#CW7-NeSP@B;U~Vetz0adK0OM>xYVb~m&B4EuP33tZqD zmpJt-e}gmJV0Uxt_x?LA_VEIzxW+@Ao@;qCJi&9^yM^^vIKVY7vHNoyx57OZ2`UihuZG=5s;RJ7RipRd?P4NuR@Zh%A zzr-P~@DgwF8oR%=ywUBf4e%6)xPN=b;Q)_uiF3Td3p~7o<*)DruW|2=*5Ci8wLTu; z0>`+<2~O{1c@v!BDRy_Z{t|n5i5IxR72e_`psuyGV;tZu9^%0f8#lr^p5rCXaeQFo zYdpd$+~D4~Y+U~+EuP~DmpI1JF&m%ZA)eq3E^zO-jW6*GZ*YMd9GG^*!`7_+u|OcoU(X`a~xs+F4jNBG0t#}7r4O%&hBdY zYdpsr?A^`!2j8(a!XB=0f;TwDs?|;`?9}jSWV_f3|r}weE3C{2oyZc&yi9Ni; z3*6ueZ*g)z>iV9wV;tZu9^%3MZQKawc#fAi$MFMfe2qtVg&W-4*tmX7i|07PC600Q zKpUUoA)eq3E^zNbHon9&yuk%-aQI*w?|t9e6#ICMQ|umMrFMUO<+huS=T~X>M^|lo)A8(T?f&rUZLd3?UZdR~^xIx_Jh^7O-@jJdb;sGY z+x^~k+O9etU$@;qxL(`Kjz`yT_xA^FmmLpp(C+WuulVK)A8)wc7J$_w$~j`Z`tk-Zq@dxK9F%h&zF6zhJW#JV3?V%-lkc+3647Ec~#>-T@!mY?GQ`wzGNA&&6~ z*LaQ_oZ~F9{2I^k3VV;R{@!`E{s{MRg(JMdF&;nC@-sZc6Fhj7^%pq8C9d!WH@Lys zqb=VXvVE|R{l{2;iW5ArZeGuW*RBIKq?E^2a#G z8TKD<{RSJxM2%kQl@#*6k z2Q#a8f=gWB6)y4c)i&OHnyr6KT}{Wcr(3`3pJUZuVbxb-)#rZ3^0j|@So*E#Ujf$fHNzv0%W!Pt z-Z_Lj*lhQ@zG%I-!0bu^`C9^Y5xXT z`*(-<$?oxj{K*m#}4dRXVL5bOAhu#Uem*71>H9Ulv<{a;}1|25YB z-(ce~PvLr&#-chPD5fSnt0I>;1RI+Q06XtRC&(0Biq-So?Q` z_5K)Ry+3lS^Y;Sl{Jp|Df3LC5-~C^9IPLmd2!_0MpM=Xj0# zFS6z5yzkc?PcLov2QO}W)$!yd?SB8IZPy)V({{i2vbL*^$1iX94_?vsvg6S!+x@*? zG3$KS$2#9dSnuZ;4~MorGpyrnf_1zVSjSt5b-Zn`_E&?ozr0_y`n11%to@Z@9gjn- z<8g*{JkGI>#|mfMAJo|Wy1l;mRkr>$+il(Pbk^<(~!ZSR_1x%j_F7?WuQk^8>c7$IN!We^yuuSaKW!drQP3`{v@3viF9Zx0J_S;}>zXogjdB11NbJXMGDNeDDryUDd$2xu@to#@&e}O~Q@lIQw++fwe#j4-`eb&$UC%~$Ih_(I^*81nl zr~X{|xbEbyI{D$dZ2c8=tvjB)+xk`i6s!IcYx^&;>Tj^>-(uD87rcJz4Y0QV5G#L# zm0#hM<&NKD%ai+m(5`=gRey|Ce}Yy21Z(|Mto4`5r~ak#ans4)cJkBrT74VpcJFID z$J+i2tom12^{=t&@4w%cr}_t2^~YG}&jf4xPdfS2PW}dGtatVSTb~?!(5(7Htolb- z^^dXY&#~%XVAa1;KHGb(eB3WPuOBNv!#(o657~HmiB*4vRsR;Ne)nO^SN#E2{UO%+ zM_Ai`tbFRvJNb)Fe(xi;zBzRi9VdTi{i;93s(*@A{|u}CC06|vR{dM$Q?L6duOA0k z`5{*R9Q!OM`k3X*YpnV=SoIG+ZsS$IhgE-qwf+=q{Zr*r?@al4*~zau`To+@U#hN- z$Dgo%)t_P2zrd=$z^Z?ZRsRO7{=p}C{nYDWy?+y|{1hv{#4*bqeu{dv-cPsd?_t#+ zV$~mE)j!5se}=XGh4QJtP(EIF@;9CQ_%l}Din^PQXMfbLe~wjug;jrzRlobJ<*WW4 zR{bH?`!~Yc{^L%5*2!Pt5$l~)wm#YW<97W%R{bef{X?w!XIS;mvFfjs&-SjBkKN}w zuOBOagtye)|GbTt3#{Y6#HxRTReyt3zxRdq`hBeRr&!y6h_(G^o&0$xe=D=z{EN1} zDUSZctomcD`ZKKhCs_3tSlhqEs(+(=ws)g^?0u>8`myq-ctBoI+jzOgs(*!5fA3Fi zyz1{`)gNKiA7iaQQ$F=hl#h!}e%Z+%eA(8&psr=d!#}fr)jz_je~wjuj#Yn+RsRaB z{@z!3{nXpXI({Oo{1_{LfkV~tRa>6iVAa3Hs^9-_tY7#4SoIIF)<42p|6KXhpDQ2N zo%~fNKm3}lzoM>n$CH)ytNtlg{Uz4+Ut-nYVAa3Hs^9-Qub+AYtnEL<${%6nS2$(4 z<8RpVEv%a`RSiqeH-d_f6;c1wfz@Z z^{=q%Ut`tZ|4Un*>K|a$A7hEus4`5TxWV%1+^)xT9f^}6r!`f-4jA7bUt zvCnd%?^(XQ#;SjVRsUdP<5j5>fd11Kloc-KlOT8@81L~KgG%~am;duKcHT%_kXnO?_t#+V$~mE z)j!5se}=XGh4QJtP(EIF@;9CQ`0uQ~6?Hcq&;Gt${~W9S3akDatA5v5zUuE`)gNNL zeDSoNn^^$)S?pJCNM$Ev?lKHIxiK6XFqynd|w z5#CaF{~v6;T*&-Bpv3yVXoL0sXs}+N_m8$begEcTegBqXeg8JZ`u=T(x4fP?*7t+% zpKSS4)|+G1v%sonh4uZ{8te7+|Jjx|{E~g2GQc&CvA&N?u)dEhamI4ftu0UA{|vFd z|CwQZzca@H_mdUY_d_+-_e1W-EMMQ(Vts!bs-N#eBlY7kF8Dqs!{L9i*T2Sr*83A% zpX~oHvwrUoVEx`^E6d+!O>@4XA0vOP+?#vA2l_IeuSWAFc1eGBa4;CVJa#VH=*HJ;(#&$GSo z3|F|sHIANduW^m6TfcXr!3*73N&Iv!V8+iQ)rz5188<>`1CU>z?p*7i!Uw%4T7Kkf9F zSjY1c>v(Rkj>j$5@#tT{>eK!Ru=d9g4}QZo>j>w#!Wpl7c#bVk?p?9%qT{4z{o1}M z*7luZymKWwqKkGqnsPunNJdcTBN@0SrCz208`7&o}aKI<7>ndRgD zRoX7Fp64jBp6A$L?XL!Fe|cB6<>`4IAM1IZ6zh4OA=dLeGpy%%=2*}3R9MgR)L75+ zxU1Rv^*m1x>v^6K>v)f_j`uNkzhv7p!&AIaJ}#91%Qk+E6THDIJh(dB2YYxjvHS$* zIK}=8tiQxlw)^lJwmiAlZ@cI?y=J?Ai?zSqwX9$JJHXnXA=dsJVeQW`*8a@Z&+A#J zpVza(+TLrd?cKk&)w5uG4{*Tti*fG_Y(G516CAU?DXz6Waf6pQd!a3_!3E3P;_!{u z?_bC2Q~d!}{X?8GeuUR}j`jL-tk>tRYs;_MPm7L|>$Us09p~3?_s4^_n~vu-|?^ z_uIDrmUxQ2o7nO_;$_F_O|3tFyX6nD{|@sE$9RrwT;T@SID4n%yPMha=eUQx-?#n{ zM>xV29^(zp@c3PpzrZtG;K94Ce~m-D!Am^wtiCn&@Tjo-1W$2_`|q*-DGu-qmw1U+ zxWdCfu>38aV0Uw?r}tj#53r9zT;LI|@ffG?v-})qc!Ayft$&3*yv7UMKiBH1@Bk+t zu>2U0ae}vaf(IY8@l%}R5-;%*$EA&L@Ca{lgZ*1refC49{_aa~!_Z z#@9H-E4;?NSKIpC)W-Mm6i0Z0V;sE9#%DOe6THF&UVqxgmw5CU^9E0GgZqDE{oZS= zz5x5U#3|mgz9F8x-128Q$8+qz!ul&5;~Ll4{hF=6!9AS4((*$*#}W2^#rns1MZFmw ze%AUIc!CSutE_*GeZ0X19{jr1S7Q&Se{A^)&Txv|=d6E6(vhh>w>3#cJ z8!w0Rwu{%boxHy7ZO8d%Uk~7F4jNCnrAq^g7x?BYW)$`Ji}YuyPNelSn~`o&ar%Vck9ow<{3_|X#HFI zW2||Gx45|n%g35$IPY2h=APC+$C_t2xsvs-BkPZ`<{5TZw*J+fi=(Y=xUZ<+~4|#Sn~`Iu5SH{ z2Uvd}Yo6gH&SUE@vE~^bUBmL{4`lgR^9=X<)<1iY_4lym87}ek!PZ}3%`-f_rsYo_ zV*M%BJj1vvf53>SF(Fza7n%`=={+ww;bxBdico?&+#>mMf8zolRE3@_+U zA7TAD);z<>buB-6r1i&G^9*ls{3z>hu;v-guV?wuqpg3AHP3K-ed`Y&WBn1D*I4ri&u(mS%^Muu#H@LOH(2um&u?n|ng=+(nOXDxHdyog&OPhbyuQ)R&6>xz z!J4->KiB#-Pj7Myv*zX9V$H+5xTW=L-re+8X3ewPyR}*K>XumZ=nijV{hBwo=bJT8 zZh~Ho3j^kN%QzSo7HCcd)qTt&Q(!);zTh*1WXyJ6XTx zp^ffr*1WSDta)Z z9B=pgxX|)C{WaduKRMCP&#>l!^-s3@J)C~q;&rFL!kPy*3)}f)T#@gcYWEMY=7Fs` z{Y$KQV8?f9=Z~=FfgRkn-QUNW2X@)%FR|u<9o?;+Kg5~`wtx3_e-CRO*s{}K$ltNN z;XT^2I*+fz9vJ&Yxq=0~_DB-5+7i1KV`^H(2w)&hOXGpJD%d7LV@V?hmo%f!%ca z*I4tw&K}UtpJL4e8^-Pa0BauDb*F!YH4p6cf$jVW);zGmgWCN*);zGQPJfLx5A5W@ z?feXD9$5b&?S2ny9@v`u_1Tlpx@_PYhZmR|yvFX0m%D7-^eNU~v%DqaDy(^A#}Bpj zYhGCIVdivT`2(zZ;418HVEs$1dEmwmxBLbDBdmGg28s12H?;gd);w@ayrsXyng?#c z>&q`^uhYlz+2#;8SG48Fcyt}}=n=O59`T`kp7r-0Y5kfvZpgUkBI_UH?G?-=;}hZq zK0U7-@%$VcU*PP;<{CGzF*kVpe6#y)UgvYneOx@(9LTRUCwMS5kFl0N!{e7)e}Su) zm}~X3{x$Yle}lDt_d8Z!M%_I;$9-Jl0bXGb540W};sB>O#2Jq89LKoCqet2P+~OhD zynaK*k6y<1((-!d!J|1|iTAPQ{i|?vB^$rQn)h!?Udrzb=GZygZVSA?B~H$={tCw& z&)H+Fo{abyYaYS1mUj)yZ}9MXR-gY^%dd%hSn~|_a?4Bjo_&DJ>so%z_$~Pr);xp( zY3w$ z@7c$XxAn!uM_BV34xT_gPq*duvF0^gV(*&PUt-M@S7^B$UrW5awv8V>(UzYRA7afT zx6*jt&l_B^zWz^Henq^8HP4)T6UV`8sUOd-OudY6$S<(wq4OE9^HGSyt62WbC;ZF%h$YiZfM@{ ze%;cqdF^tR(`S8!%<;b67E5E>+w<;m8zSNdC#M<6tyw>q~k*zNxp2{z<{3&s5 zzd0Vh!ul6@dR6Kl+43^tJ(=Y#iEDq>8pri{jn}WUPiH5Klj5 zp5Wvo<{94N0xwJJU*ge+%xfHcz}(;!?l0K?@3npp$L}*oxc?q=f=fKclXqGF1pDtc z=eT)?xxn*xnrl3Kn|Y0UZ#TQQvH#y}&vA|`yue#rV4wFziBr79Q(WN^*LZ_hc))SH#xdUD3^#az zw|Ir!+im~!IIem)#C<%%13bqbuCR}{IKV!~MTk=z;VF)Bi4(lRDIV}T#!s{BS&TA{S{Wd!80s>LEOihr>@4Dmo9szjn_PM{*J}@J9=f{IUt!Hdw_&}?@4bWL zwEI1*dF<*=e}y%VUBq_L{Y`>(e>29qznNg&-{e^L zHwD)HO^tPbv&Oo=aqqPKsr#Eg*8NR@b$=6K-QNtc?r+9e_ct@F`I&y1$uV-QUcx?r#dL`ec;?hjo7wVcp*(Sob$$toxe@*8NS6b$?S}-QUz$_cv?2(EZN4+5g<%^s(-50<8O+ z2;9&}IrlfNVE=P}<6+(31X%Ys3D*715bOSC zf^~m0!@9pIu)et zIK~^C;RY}87O$}T1KWQ+?ROmFJ|5u#o?{PJ*vDI({T$a1);q?UmosL(t`8~J^&!K$ zK1{K$4-2g8Ly2{LSYcfsHdxn(-g`Me@bBLN*7YI8x<15M*M||-^&!K$KFqPM4-2g8 zLxpvHSYcfswpiDP-upN|@b6zA>-rF4T^~}c>%$1^`Y^@1KFqPM4<*+1p~AX8Y_P5m zTdeED;QgE*`1h`lb$y7jt`8~J^&!K$K1{K$4-2g8Ly2{LSYcfsHdxn(-UrzKTptEl z*M|`6`VeDXA4XW$hYaibFvq$+EU>N*71s4(g>`+{VqG74A7uY?eekia4^R59P9c}VqG69tn0%D>-w<8x;_j_t2g~!yTA0Y{yiLGT`y8xaQ{8({c!+a6!ZjXa$Lr2; zg#G8*^<|7bta*+nU$TBZr@x}V=HEND@_%f{=j6ZG_}=yT_Yl@|-80s|JpmapY!SkHa?KX3hd&U=ju>RsW}&v|$1t+2k2pFZD~ zw;(>jdJa7J1?st?)$3zD2fk82+oQ&M4m|rsmj5rdJ=d%!y1p&1!LxzceVFzd*7orN zdpN%l=aXNu<<~67!+H)iWc-Hp#aQc0v19ooto3C$zOk*ZX8Atx3hTMnIq~^TYdv^^aa)>(g_l{TG_cYg_*k$FDMvU&MGkxRmj@!g{VI zd$INFIU4^ZW<57kV?8I6z0~^kT#Pq0>p7ST>$#Wl%dB6|xeQ)z)^jIItmjNdudseS zSJHo_S}VpudM#^p{w_k14SJj$Sge{A^^)cds^w zPd9Jr*WaaE(7(Fa`g5$m8kEZ{ut};M$I`6{7bEWfhS|u$M`An2J7#NEs5*< z%^K_b%ly~a9>nKZe`jPvT)&^#;w|U5=r^dJc!)Jm!tC|duk-g5YaW5YAKGy`zn--r zZmw&Nv7=3BJ=)+6w)_bDSkGy%aCie-UXAsf_2i9~zotLKdXC!vZR^+j-@|&Ycf@+5 zo7(=!RM$y!^>$uA@ukf9WJY{Levb8g%J?0YKOsKCdhWL*KD!y~!+L&Y@J`EL5bt9> z7rZ7OdY0c{J>TL!YTHfEt1QW{8CPOGM?7Hsn&tUe&%=y<-|FcRAL7%`855u0-0DfN z*57}ZCZ9-M3W8P@YOQ>^Dx=2*|8Eb!^)KUjWByuf;{c}aZ5`f9A_bB6D> zdJEzy)^pDr;*(ogy<4p3cY1~8uZTOW=c4-`v;E`W((*m5=X(mqJK_th=cYsAHTf~t z^FQf(Z2dm*1nar#A@S^1w*E2J^Fi(pEI%Q>C11~7Pl*z%J1+WK?iG1hb2E8^qZ*!T_B^G938SHv5v=epg;ZTk;=%kN`7pOnAP*58mn z$9nGDXZ(`<5bOD+`2ChYARb{o7oHFw-PYDW#CpD|VSGe4fc}0A9dt3hs>-nh-<4fXe`CQxX4e{O`EZ;3H zuIH;}rLBL>xGC0i>jTCY$&!bczQ=$e}eUV)|&AF@fFr{?<3;w zPL`ixJ-;>mu&qBOKEZk}enxyjevb8gSMU+bpAh%4o|`X;CwI2>S6I(~tr))`USmC1 zzb3vVzrlJwZ1RV;{+f7(_1t~$6Slwdz}7#&dVb9RsO4|T_pqMJ4;UXGvHVDWl(}ZS zN4&y%Za*d7kUzqD{w({Ltv@C{#(J)QLVSK;>ziRcpXPns^2fvnSkL`0h(|{)zr=ce ztz!I~_!4VgfSUM*{575(v*k5d&%2G6R?m|72x}gJ!6&R=&%gDt<`L+9()L$)+?GGU zdLC}c_$|vXvE~^F7{4Yz!g^kA^hwrFe26s2VEtYB^wZX_za!uKjCuZg ztJ`5cM_=IRx2%7G^&EZrN0z^#Kf!vAev9>7dj44(ujkO?%B<(k8?5Kd=YMSddagYB zoLSG2Z?K*lpMBo?^_+P41+$(DUt>K7KK-Kg>$&gXPt1DGdxiB}_xNwDUw>~n!}@!} z3#`BYS7819zcto;gBz^*1_ys@%h!B`9@cz?3D$grDb{?0Q>^*RW?1u=EwTRoV}*x9 zTkjU@?*+OaSX_TEFu?kIfFai3101QJ-(8N?k8`ZQ-?+f~`;9BCzel*n`g?@^|6%p$ z?@JD_{=Q_4_4g$ctiLZg!ILN3a;8{+f3d`xzjKK-e`kX=f9Do!{!ahzY`OY-zX8_Y z`yFEaz26ZY{}g$6{Umda_4g%fJpF0wUt#^d-`?L_Tz~JkkM;L{BlSPR#>MK#8J-NS ze}a4Gn+vS@+DfeX+BW#~d~|sFSj+bsYc)Tgk2ODEiZwst5Nm$K8P@z~bFBH#Dy;d> zYOMLs+z)O2n$NC>HJ@FGHJ@FCHJ{xWYd*USYd*UL*8F1y*8F2@tog+@So4bw{-@Qa z`LsMdnOHo*noldmnonzrH6PXtYd)+c)_hnM)_ho7tog9qk8Hh~4=cc$4=co)4{L-q zAJ!OaKCB#TKCA`Sd{`^2`LNbl^I`S>!Rpa`SOcv2uwtzFuoA5KuqIgZVNJ2-!z!`n z!&+j^ht*)shqc9;56k~Yt55S`1z7W84YB6K8ez?cHOHC{E617-tHzoSYlSr*R_~u| z{hAM}k2N1wgf$;lj5QxthBY771ZzI50&7035^FxJ4c2^E4c2^E-alJ?nh(pznhz_* znh$G;H6PXtYd)+w)_hnM)_hns)_hoQYwOp1SUs%yutKc)up+Gau*O*PVP#nJVJ)!c z!z!@m!&+m_hqb|)4{Pvat55S`d06vdC0O%erC9S}O|j;~nqhxw%U$9aSGdO8PQUw! z<*7fwbM9M1>~SAD!Vw&>L#*@P z4D0+i$2$L2Sm(bQ>-^{b)z+``Uk~g27h=8s2tm1=f?ro`7y>iKPFh`#|hT?af)?*EV0gy zORV!>gPW!8-!0BKANproeL6n|Sm(zf*7iOHMGk%FTw8N*^^7Z`e7;E_>Jkz*KZM>d0EOGP# zix+rB8@|Hgt6#D<#u?)yJfdCG=8SJ}!T1gCztWaxTlLKU*JoS&!tBBee(pIJo_FGu zn_j*hIvKc#*#I=^JOHqOLOy3L_j z=w{dGuH%#od4S|}?uo#y55N*fBS`b~pF9^RLs5opAM` z*h!c1K=7G$gwDHOH}{mQy0P8iGUmrLr*n75%V5ySJ$c}khhj(F=my6g%ZoesFSnTo^ca)6RN#vokFY#SUD;m#t@VkGk!l z*fE!T-Q^v3@y)xj6RtTFJL%@-g z9dlmNjU9J`M|5Mm_s1i_5D+8MVyS@|#P#*Vo9Q0%zNUfvyd!g;T-*njxNR{z<=@hiJkoN~#lIIq1f&Q?Z$R*xi@!X$KB#k?1Y=W{ z*lvHkzZ={A1MLId*zN|j?8bKg0Qyijw!46Rq#N7acpQrDZlFGTcwGFkZtR2$mfhIy z2Ki9zgj;^{@VL>Zy0H^3`Aj#qyTLmYJK@%!Jv?q&bz{2=-RHWo-G%-0-Pj4|zSxcJ zj=w{(-SPLO!{frb8`~X!U+%_s$KRpY?)dx4;c@9#yRqHz_qA?pcl;fS?T){%A0C%| zqZ`{De}CSM?T){{=*D)(-(PlPyW{Vh-PrE<`&Ku$JN~}ijqQ%V?{s6k?# z@VNMU-PrE<`+hgJJN^#EcE{h}9v(OPK{vKL{{F5T+Z}(0V!Pw7IXrIq!)|PM{QamK z+Z})Z(2bpNy?<)Q4tCf74#y9XWE~rmyYBj=E^xjU994 z>)NrS$3vI$OEndI^!O>~`1RT8*lu?(4#keRXY8r^1(CH4J129^5^ z8s2t~CG~E%$Dm@LLFw)HSdzQL9)lM93`*{}$C9@D49f4c$CBbZ?=h&^XV852x%U(D2iHEUBWV|No{rIJWy5=0%p=itj$6^)B6G zp*4fr$-B?dU;KYt=usEE++OJ!>o4@s-SjD_gsy9bLuTzpAw*?eJ>m-qo!wXwz$$cmGbm ztds?9a!vD=HoulRzP7au?fg3C=(^T!XlK_mhu61uO*|5K= z&TnguZfEU=c6NJncn53Ow9`A9gF9KfqMh8?><8A?wAm4}cVKNrJ3eY29J6*wJ34Oe zpRl&1El!#jp|$xbYv*^dc6L{5r+k+@xw|>zJLB;^&7;WL;k~R)?`>^zA8X_LS{vQZ z+VK9?1`n{-kFE6{Xzk!Z*7hH4ZSNu0x`$f3rEMN&-aOpebz<%65!Tj^w6=PbwaZ6a zTRz6x;<45)9%pTyT04KdwX-K!8$Qw6!IQ0B(vB`L_n%^INn1UYaZj^$c(Jv;F@B!4 z+n=|#c#*Z~rPjI^Tf3l5USi(T<}WqJQ)?UA`OD1F%dOqe&R$^-UukVRv(~-Z+Uzy7 zueEkLw|4Y8Yx}RawxmtoXx{vewX@vX@J-gPX{+BekKb%<`W9>5TdmzJtew5h+Uyn?UHu!S#wfZ8-C8(HEs0;^Z1L_reCtw)z)tQ)Y{pXtRCw5z`|PrhUA=)2bTzh~`sV{QI@Yo~u}ZSVtY z2Y+Ynl6KLUlOI|e{>a)jZT*kt=|5Xr{Mg#`Cye_S`v2A1fRBn-v=crO_W2mNrd@IU zobci8n(OG4k6!~ma$V6*_-NJVtzPgAOMnpVXy#@_y^Qs38dkQnShF!kQ9R0FaT1F%r*gXKpKHANKH>I0=oj6W%5u> VK<)oEplm-VT?nP;LFwyI8UO}aFqr@V diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.svtype b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.svtype deleted file mode 100644 index 5142c798fef8e9d0c125e588c5b73fa4ec2baab0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8 Kcmd;JfB*mhNB{`{ diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.type b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsim.type deleted file mode 100644 index 14a09a21be380ea00ada81606e1595c3a4e4d8f5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 24 TcmZQzU|fYP__KQZb5`h$2x@qIik-P_+|nJNEnCXWwVP$?khZ`%kCCZ{~N- zFZNPb=H;R6L(q#(&X{ zbDg)gbcOsbze28NaF>=hLRY-lpQ<_G`~|j*lNW?|IGC>zr#Nmw%vKGhDZ^_%~iNxov$N zww;RQL&uZrlaXBKRppq^F5^?%aenT9u#7)c#vf_Nd0+9*?Kofm<7Iq(8UI^5j#b9* zE7$)-yFB-GLs`GRuixgFYfkg!t}U;*t&Bg_j;CUsPnYoz+Hox2>o^a}H`>;ggWGZL zYgRkX&E~h`81A(#wIbK4$Md_^y80gT-sZ@5zd1g!9p}BxNoD!N+i}kMW83jmjE^tx zopC*n`(6W__gv@tcH10tesg`UlNjzb51hwbf9SmLyMDa}xVGy$Q}G9m`G0eqIUV&H z9y+!$7I0j;CT<<}Ih}+IHUZ$#PWp zMV9k*YJPdmTy9w=k9qt<8O-Hm#PSuZjOQoU|7tsLIsRHZ&TYwE&0{RyYuoz1xc2gN z4xRU@SUz;Va{16T5R1qAx-;WE{_ERwF%{$E%lQ0qjh#`hnOC&qWO9_-o>JzdelFZv zmVdpBzfs1wmGRDYoUi%KGM*8?qi~%cSH_QS$N65)EaS7v`0REZtBmI-*RR(G=drGH zXU0>p($M_m>+{}o9p1~GInG@FoPlG`<;;JM*>Aq)tIPYnydCE{%v%h{?>(2ZZH{@( ztgB6H>k0Fm%lMsY-{WU)b9tR}&hJz#ujl9rd0+K-c<=d|zv~9GJ1`#C-|@p=d^$-g=IHz)t*VrA;!JPVFPJJ+^ zKA2M<%;WNET3$z7Un>vn-yfKhe{=G0PX5iwzd89gC;#T;-<{n1Ir%py|K{Z1ocx=Ue{=G0PX5iwzd89gC;#T;-<qE(ocd-?eKXJNHoH0X%{;H0?B>)r z^IT3Jx_+o{wmtRDocd-?eKV)NnN#1)sc+`gH*@NnIrYt)`esgjGpD|p$LVWYo+r-V zrh)vMlYevaZ%+Qr$-g=IHz)t*mEAm- z(}%7v@^9Ode{=G0PX5iwzd89gC;#T;-<9RpYl2wa*ofO<1^>@%&AZ2)F*T5lR5Rtocd%=eKMy$nNy$4sZZwACv)nP zIrYh$`eaUhGN(S7XKu2a=XIOiJeSjlu21TdZBKnNr#_iepUkOG=F}&1>XSM3$(;IR z9@E#foG0dQ+1!5q&B?zx`8Oy3=H%a;{F{@1bMkLa{>{n1Ir%py|K{Z1ocx=Ue{=G0 zPX5iwzd89gC;#T;-#oAD?B=|IXn}37O z_PYkQ&w1$k+Kbsf=Yh8VQ1-v-0+!`G(3Uj+tC79`{aDTeufMZ+zEbvKZ@yYKFPrm3 zTXz`y@BV$-R3NXvxcRTM?Oh+udClX>_B#f)H>bXuQ(w)gujbTObLy)(_0^pEYEFGM zr@opqzjrV5d)W~)n#J|=%bfW&XMTr$)rIW;cn0yjXg>Sz{Dk7$$NuscvG_y=@w|4D z?K{6m@u>xPcYWS7oa=L2@mw>zIq%<`_ixT&Eh_t8v#>ip_HWMq&Dp;>`@dJ&|KySV z{hPCYbM|k}{_kD(zja{$=Iq~`{hO0-bMkFYzReGa_m$mzW>|Lf1H-bL2Q}?J@yPYN z`l$Z-GiUzHnLl&p&z$))Xa3BYKXc~KocS|vr*CxMGJg|C_vg=?`7>w!%$YxP=Fgn@ zGiUzHnLl&p&-|d6x9sKzhh;YpYTEtck?VKM!2Fvt|K`lUIrDGM{F^iX=FGo2^KZ`l zn=}9B%)fa%{iFMr`CoZV|N1v){>_Q$MU(NacYR>mpbH2Zt^ZnJF@2}>3e>KnVec8?V{%X$m zS989{n1 zIr%py|K{Z1d`_IV?B;XBvYV5CbMkLa{>{n1Ir%py|K{Z1ocx=Ue{=G0PX5iwzd89g zC;#T;-<YF+B&7AsXPJJ_{zL`_s%&BkY)HieLn>qE( zocd-?eKV)Nna_*sD!V!L&HV7#HoH0X&3t}ro86rHW=?%Gr@om}-^{6R=F~TH>YF+B z%{->2X-ndf`n7u?|K{Z1ocx=Ue{=G0PX5iwzd89gC;#T;-<{n1Ir%py|K>+VuCkjS6_(wc{F{@1bMkK< zyKdSeDvYQ_rmff8Eo0EU@c{n1Ir%py|K{Z1ocx<-_OqKG6PDea{F}$7O?y;4lK*x0>VN*5x0{ca zmhBhcyTARgzho8LKbk>2?-*}?)=OCY7zXit*?qd#XYr{q;P@BaxAPojANH4B#P+8# zi0AEPdlo-72E6{-`}JSnob4Z1wx7Cxe|vN4t2y=6ocd}`eKp@djyt|CHIs1P~+5gH1_TRrb z`!{F*=IsBeW&blD)Zf23`!{F*=H%O)e4Fnd$DQ5$fUxZ5+&_bLP*S`7>w!%$Yy)cKSw7EAzMF!TsycocS|n{>+&_bLP*S`7>w! z%$YxP=FgnZ7jy34&6)qFm-!z(q(A@W%)dGFZ_fOiGymqyzd7@7&itD*|K`lUIrBg4 zPtUT_v}Z7g=S}1J@BEp?H$If})A_TCZ&=dzXBS`pu)aU1__|Z@ZsCe#V42b{RPD*p3wJmi_d&w-&YqOJ*n^K z72h-9FD$05w7#z?zV+#S|C8cd zp3(PJg4uM6korh?=LI9?zwokaBVjnU3LbZ zRc*TcDT8?4a!r0JEdDbF@jUa*tU-Z28_-73Jc6`+NcVfHcZ$9Dh+ zyFMS<{cj!Ezd8FiXaB?Aou3jE~gK@f9{WLd+v|Sxj!rri>c{n1Ir%py|K{Z1ocx=Ue{=G0PX5j3$90|Eocx=UfAfX$+S$#?zd89g zC;#T;-<{n1Ir%py|K{Z1e12Tl+07@zvYV5CbMkLa{>{n1Ir%py|K{Z1 zJl=ZKZjDFszvh7c{l7W+Hz)t*?e0haTJ}FRx4(aL_HWMq&8a`;)E{%|k2&?nocd!< z{V}Kh4Ey;fGryNJi092`QQyyhFpIBX5YH>l9{U4W{2B)Ne9qX%ufMMNCVa*vt5}@p z;nemI%U7{|_xtlF!?^y|zq0cjWjAkMa&$x4ero*tclZ6(d}#Y+zhV2am*3CxJl{Y1 z)zSC7xIO-Gj^CW?*PQFuoa=Yc%ir((B93d~8`FP(GrFcdzT!DQ6JMV8t>gE<&)+Y6 zVcIu{Z@+2U_q?3f@6KHC%XTCkPn8_rkcOeng0EP zWgm(G*VmdE{rY0g`7!7Gm~(#2sW0aH$8l#j&-0$$ocdx;eKDuLm~($)&i#!!_c!L; z-=G@{n1Ir%py z|K{Z1ocx=Ue{=G0PX5iwzd89gC;#T;-<ix)ncmME#aN62m_wQe}1X4e?mHP|s@64$m=F|^!>W4Y? z!<_nIPW>>aewb4~%&8yd%=y>L{7%l~{B-w+=FG1-^E>F}{ylS)*LvQ+uUOFTzudo@ zvww5;Z_fEO=lq&;e$6?*=A2)1&aZh)SJTq77UcYm77owfkk|Pe@;ZM*UgvMf>--IQ zoxdTk^Ec#m{)W8HU(d_GzxaMk8}()Cn0Efk-@lqOf9A}eIrC@E{FyU<=FFct^Jjiw z%u9B2>Wew|C+6|eP1_oe%>TB5-=EBxe{<&FocT9r{>_(~F^eeHP`Q1M7_Y3CCuQ~H;&itBl*q)O}wF> ze{=G0PX5iwzd89gC;#T;-<{n1Ir%py|K{Z1ocx=Ue{=G0PX5iwzd89gC;#T;-#jjzrhP6R$^VAS`}sE~|K{Z1 zocx=Ue{=G0PX5iwzd89gC;#T;-<{n1Ir%py|K{Z1 zocx=Ue{=G0PX5i~(rDWDcqIS32J&xC{>{n1Ir%ql4{!8^a(wGw)2~nF9G^MIXU_4N zb9^_I<6E+>e|+W~pE<{8&heRZd_OA3w|jm6_{=#zbB@oP<1?qen^WJ-sqg00cXR5y zIrZI~`fffe<~_SP_1&EMZaz0&JG=QrSa$P6!m^tm8kXIh`fg5rH>bXvQ{T<0@8;BZ zbLzV}_1&EMZccqSr@ot0-_5D-=5gwp_TzY@zHfM4KmX?B-<{n1Ir%py|K@RNHSOo|Nd8y+Z9o6!{n1Ir%py|K^9qd&_Q4{>{n1Ir%py|K{Z1ocx=Ue{=G0 zPX5iwzd89gkEw6k&GAV77hl=Wzd89gC;#T;-<{n1Ir%py|K{Z1ocx=Ue{=G0PX5iwzd89gC;#U2 z;=0OiPX5iwzxfgI+S$#?zd89gC;#T;-<{n1Ir%py|K{Z1ocx=Ue{=G0PX5iwzxlkluCkjS z7M9(d{F{@1bMkLa{>{n1Ir%py|K{Z1ocx=Ue{=Fb?5i${sc+it4B~m>h)i|<_aQp} zcC%#qVawRhx|qd3V-U|18>k;IzJSHmm;44_IrbL}zW%zgU%Yzg^*4|G(s}v*vpqi! ziTbwk?Hu2w^K$;ORp0&ho#*^#tA5!#I?wZ$t@^}2be`uwTlJ0a?mVxbY}FUPxAVOI zvQ^*uzRvUd%~pN+2RhH|KU?*kTRPADWUIdBBb{gdvQ;1bOXr78^IpDwYv-B$Y<2so z>pRc-k*)gXPjsI3CtLNU+d9wsm96^rPj{a6FI)AMpY1&BXSV9QKi_%Q-)z+{yQ%Z6 z-`T29+}wHA|7_Ja-qLw~eq^h@_^X}g=TElkTW{?=Kfkh7Uw&KX`T3Wv`p$25o}ZuD zs;~KW=lS`Yt@`MDo#*Fww(9G@-+6xiXRAK-qt2%*&ECHGC!J4^_V%TBbUyv+d;9jE z_5GKzBKcqaAD!nYyE*qa=G@=2_pen`eE@ZqEIUIrlf_+~1gUe`CHNjxD=6_c!L;-{n1Ir%py z|K{Z1ocx=Ue{=G0PX5iwzd89gC;#T;-<Wew`#hm(LPJJ<_zL-;A%&9Nt)E9H=i#hehocdx;eKDuLm{VWO zC*#`AZccqMr@oj|U(An+eP=hPzL-;A%&9MfKBN16GOyDUqi5T6O`HE2=bkscWHhS2 z{7uw{6Z7;m?LQgB^RjY(!s4T26Y9%l8`=KSc~k8QD~r$kyUz3YvsK@4UFZ4!vsGX8 z&dziGvQ^(we&5Ww&sKfed%ErO{AH`Yqx`;^=RaHZ)$i}N&+8{!^*!bH&BC-an22XJ$_2sZFsVu~=*<{%5nXSUdjmUzz;&|5Jy@Tkp1H>)4}(FN|p)RPn-? z6KDSP^7yRFE;;YApIj6_`zIG)d`V6GtQqmkW?vlt>BaHMKRzLT(Iw~1m@snWmg6+& zszfYy&b(2v&sOg@HMU|%?7CsG*oN4iu@Qp@Zx_3Ba4fbrDsB~vl^FOtijB(nqptCo zbn{<93^)8Y3ng2`V$T`{!WZh}4*#UB>%zWwJ>uiu!LfgB%Zma28#N?0dH_u))Xb=@ zLAz1E0UxA|yerCWXz^H8^@MYNQu7m(^gt%DHq_Y~e-~-qbfu1WvI%EhemR?s+Y&!L-sddmzq(j;X2MSuJdq7#6x$9D9{1KSi(I5m)sP5%s;8gQ_SL2K)dl*h=E{i~b0o`C1=j;&o(yo(U>W^HBX6=3`Jhy1K$&hb)zYJ1>8<6E=0BmvhK{Fb21f(`d7GPX)g%q&5L z*u-bEJ$+k3X^;}c11Y@>7NFfT0I_dklRP;3LHsdy`7xekUj-LM4LhPL?DG^pNG;16 zhq4jaD+HLG{{zwY^cgqPpm*7I>0oH(t(tRy{S(xh_-xXQ6+J$x?yGpwjT51WhF6Mi zeWu~rn&G%;+(!y(wo2A#2B}KdZ1`gGxYGv#S?79KN2=h#6@z2#44ui8@er6Kdwlv?RyuuQ&K~BPMX{8R+;JpYsvcM!~0%A@ee+~c4hMHk71r410BR+ zoCru$x`x8R^R{3uLU~1MkAqNZj@%c&$<(L{vtRAS9xA~E*Fb*i8Mzlp|I ziW-W*GkX5oCwc$u>=Atz0G(Oz0q&D?J85gDopI*$^3%(wqx-;~Q_t1^b5Pw^yBAG< zj$&H-M;huAlgCxB-H5Amo6LeG2xU*w#%TzRJa=acnwblDUnwZ1rM4l-ktZbC4=;)j#c_;Xp! zQZ||RN(YAqecu7fr1YJ=P)u_^SRQ11+F{XIB0cSl|(=Y>W$OR$i7EI|GVF z;I41(trkU3;g%HLEf2Cigeg>kqDi+B>C8zB*|q^~X_9>jyY20&ZBfGva3K%9?I(V* z_DgYg4X6kjb|JX-NjKmR=`Cs;k9Oxyu;PoL75Aw0vxG8K5`6gL7NNgOfb5z)t~ic|h^O;WwuIN^9sHH*(`a_)$T@gak)FqX zW*RN+=z1|k*OC4aV1IqS?brY~3Y zGj6n^E_v8Vc;J{iX)bMHVfxr&AuntE5~W><48e6JIt5Fj8#ky^nMtN9##N!CzJZR+ z>r!0*SznXdS<-CsxS8xLxUc4Y5*HR5NnN2Vt}2x1dy!KzJ-tViQQp2Q+oj%^Lf_v0 z0+F3Qt^^T!{u8{$-~%vASqXoOi41MmC;_*}aa%592SCUg6Ls^Ms9LM(n#DS}uhxI3 z>GCQ~PC&}KoIzDkYU#&W4#D(lvI%YqP2p?IYm(^&bYYf(Jd-u9ka(n|4e6ZQNgbU=wZXVmf1v)#PcA>Hd}{fLC!I_^&L`H?>(=ekMJJOChxaL?X;DuSh@60f#R8G`o6A>d)Y(&9dvP z@yc_s$PwmgL(BKCjFp>Hho;s{>?!Ond^PpP#+MtrYYMv(ZA7+iTLjsylkL3b|70rB z21xA=r1^xDcr>+aa?Tme*fBZfI=w$ofh9!0E(_8rI@B*_CLe+a!RR{a`zjA9HL++goL(BAsY; zbW_Sj~bVG zSam+l8ZSznPSO0H6{&N?T@-7wGKybulo(@WjSZ;1u9yI8iX8n`q&`|V(tZ10)>w)` zbk?ZjP&(D+s2ctK0hF1EOnvX5)G#yA$-hTY+hstSOfRI13e`45rnJ2&Ys5fD$1bAn z833m`F$SIm<1vs(rc@`N+sV!k2f^`J`5EPBPG4Sb4jnh7V8SnuZJ-R`#JCV9MebzV( zB$Iq5i5R{UpIOQXT5d84dGxZV!eFE3`v%Zqsp z0NOe>flE)zp{aR&C=+zJUXSZ#i&-*w*<#)dM%!9tSv|P*v>lzAmqD4d#dRmHmn~+= zkY$T`GX!lhs{;Ckt++*7J!ViuSEuGRqga~b`VL$#Tg;MzWs7-Jfab#$>N?!Inzz@X z*sSb>w_7j^kH#j}r{>k*V%cKe4qLXEH^b1rO|_qfTX%c9MBP4#N7B9m7t0p&ws6^E z-e7{afYBNTSAtu`It^|$VrL7q7H)46KXvqs0sWNJs*X}IyRSM2$12lTk1JlnG#TTf zaU&)abm^YxwG#ET9~|;WTpEM$8|DWkqR|gN1w64S>56gH0y=9PY{PZM*UKEZw=W>v zU*k6nE~O^^^-*4!bK1?sQ|8n*v+~i@H)CE;EiZhoX6M3pHm=^-GiDS1FW>me#+S#u zjQ`J#*)XO%wSvCP@>yBq-^+;w^)|Fh9L^AENDR-^&&ePT)6sUSI9$|l;BdGLTUtK> z14>%W4){9&UQ6qRG`V^XzhPW8TU_123((l)06CdJ5_hPm(X69JlP1(ZU}&nJ)1(Q# z#Uiv0ARR*60ZtJ*-@);F$#g-Y_k036{x=M#K4fJ&P+6oNYteoS>H_DG9uBCYP=fPHN}Ni*~Xr+i6;C= zxmnr3p;R4oyeySwO~|jsA!`ScB{hXIp+iftB|?fV^>bRZ6c<@id>?bd%Jie->?l!@ zLX15hz(tzrY9Mxy-n9%!j~FT#M-baqU5%_E2n{g3jeCqJkLsUh1&;Q%tbh6(Udn*4 zr~&gzm;N>ZvcfpcgRz7dIYQlknR!Q6>)3=gxORv90)3px*L4ZrPG=8(5()yX6cgz( z0CP0hi?pICYwH>?FWjS%uB6EWGtLHhOr;yq!d|-Iu7FVhU{tyBZHA1pI?JL83*4QjD8Ic~XBjYYnQuo7FH(N|)fT18hg(g3lBetgQ9Oinbny z;|6*p;g%j(BW1X@6hS7SN4Bhgn!nmw58!2}wI0pnY^n=%6{C(r*L47H7MW+lTA!Pb zOXFGmhFL3{S=PF*z6P|o2igII*2u?-OE%J6vZBr9XxxBHQf_hiDH5#V*2W+MSA39yp3R#uF#Rz5Nt%kVp*k=Ln4+JV_fqaD$>os?{a-n$A*4PPRSvTHnBn+4_hE2vfsJag0FXITpQEe<^cpurz`ZU6E7_JixoiY_qwEDrf zsixf?@Fx@eo*aM(_#1Scb;E(Ao6~X&_V)mLO8SOzy)vwx+HI9@t;;z>nKHMt8;|V) zXGIDVy5FDz#*G{iswtIBs5n~*&R6Q!S4qwVWBqx>NV7=3(9B`-J=4Uh$%UDa`fz?+7uSz}8= z_02jDLRotjsLhd201!q2MPmyd!j+nQVg?4x3;Ak#?N|^Be6#Qn((7~K%o-a3Mj@Om z2>*`cS=RV9e*Fmlj53YzSQ}x|kFb>p9|k)>*i|2baGpX~b0jK!7*=71uCNCxybxAl zvZ_$j$U#ZRQ9^c!ko{hJknF7;vVRL`N>0DVl}AoJAUkxDj(G;`1}Pa#(oX{@t>+9d zDa~_|zKL%XQpz&wJF7Si0r9=pg|L4;U_jnAV zLS6tQ#Tj9GINL;pj6r7v!o$K4ehx6o0*)4hA0W+|HGU<@R&SzXIm$G`b8LiX_z}jD zm0sJ16gm*THaA2B4K~7K1YwOJ{9Xvc;~j+m1|-Gd=eY84m?Xj!=c>3y3a#Y_;^h ztg$EAP0#7|dWKU_2|#xRMprKt&v2}U*-)r@^I*kkud80x*vqQ)J{?NB;Db7$&RuCr zDw8z^S@q=APP*XF5%t~xJ!GVTgE+vuJEGo0s$R`3)Ja9uN$WZlsB=+7ouBGD#i+CF z+Hl$vnx@7U(9|(aggX)G*{t!mN72IPCX4VyYcvL=%7nfGXpadsQ=?!18*iv)2)uUz z3Hh)Pcz;5fhPUZX3*KkA^1!Rcv}x@FfCoNa#zVN>fDYz>GJ1j}>fC)zc%4&popGp> zil}p-sso2{n$W(7(7yVSpe%+sw0{j?N)}(>N@$;_Lq>+OD1ngy=PnUAmjROU8K(=* zhkz|>oE?JmMwDrJ)!8_I?#J0joZ1#|niDRIN{zF!W4hp+Bsl+pMQ(nb@8sZo3cwVf zkK@Y2=P2lC-7y{20&D}oWR1H*fW3$^&A_nVSilD1$^)zv!1{|Hc$>er{mX3D&c{0A zi8eOnx`wSGbB-rs91V0_EObpHMSMZ0#uJ~)t$#c*7NzhS^REtijnNPVjf~ND7M=&= zKiaYaqwNl16<${riW+}KsxB3(o)+>VO&*+1^MOz7ScfU?F@ zZ9$XiLz!9d4S;C6H|k3_-6f!V?GY-J4hh3|AK+7%4DKKOJcOW>ssMs&grF;dHf!t? zLeR-V&}@gGi$e&SMuHwzk?+1ReBZV4%@%xxg6~OWFa3Sy@62l!jCAmA5rVId_zqY2 zzWQ|tfA<1D1W?rYdm77?g7a2la{}K4G8+hd)u;ra?ExlS0ZjtmR14;vg!yxUS&r&i zpi2cLx9d6^hM(}-K@V);)kiSua?^p-lQ7(M*v_DD`-!7a1qzj(A zBEX-Fm|g-P#p1Ps@=rj9_f{b&e}gi!;BSDVS$tbxl0^&UETX(m=4b`g5hzc$QPv5{ z3PJhJ{Xs7G7L;WU${&QFY$nQs70MYu3+Hkz04Xl#3d%QtENhq{D4#%?Sy14h{01P& zu$#*aQFf}K#L8R4QT|M!tl1D1r6Ny+Mh6f-&N=it;lM? z>Mvq*cyr_?teUdNH?#P1cXX@?Lc4`n{p+Q!Kp|E>l_-0>=yDRKvs-b9$+av)f!tQ3?7(`d`BYZwbzeRR`JYWBnd7wtsNi@l3C|Jh*jadO zZ6&Xm<>47vFQ;&mL$O$9cu|9aGQ8ubNajj4^$ytFWhc}UhOxjk)fKt`OE0wPN<6Ao zWwir55XtM+(2BV~9c)Z4H*dWGb)@Bx`I9 zlIrKYAFCNUF|!VD{N9cg-IBq|o3qgW@1b^&wZ@8kZi*jqi3>X#uWr zfZR(UiB*!|t?XfT7t5R0uwKaWrZx3**68GCQfqxSJfw69mGmFjYP1(0v`TDM;G5J$5qTrzhUSfTc7_VIK` zgyL8e6^w~2>#DVkS>unWzHVP~RP!CAf#1GS)lsG)i)ic=2Qr^m-Yqu54Kc7j{UmFg zrHX4oE8guhVk)wYQ5B=kJv-M9P z-@g8Z-v4d=gOv#VFHpMm>L1nJ`cJDmQTqQqA1`S4>t7YODgC$LsZalW9;yHGlhn#c zi6w|SyTn@HO?DNSm^rfpWQ`|yE3f2|n7}zb_aApbb4RIH)Xm5tQokZS^5?{ze!88_NMLz8D~P{28_g~KWWd*<}7EaRXj5LDBDR(Wj%^J`B4klv>g|B}dq0}5J(U9%4#{QZtF6LcsDiY%W zUuvO=0W@E8I%q}_SqFVw);LGd2yDI*Yh*RsN3kNBRzV#V=|+dp4n9Es9H`gruZgS~ zrEB6f6>F~B+PNpt@^%J)@YO<5Etm-bQQ&bD0ya@hdz@p(a7A1uJW8FEmT- z`YCSS`HuyQ{jo{!vzf~O6kk&5;VZGn@!%4gjnj!OtzMQIGu-?uC=C^^w#EJ&45%)P z&Ve!>>49{VCO)MmHi=*l1UPEqC}5qxk(#*bPHLhLm18wK+eExkk^0I~26MnuQeCz( z6u7LBLb*knynf{P*`fyB@O7*J?gfV9SWm6htS}E;hdb5fv#*O!(_XGT`?^|PFx)H~ zn)+*DSK&*A%Zny|X%9$IVgJ(9oHZk+4NXmd8%sNK09lyLUI$uJ<6&x z%BroUo#!cv#W>vdtkXUyHqlZTe4-YFlmqCE9ldEpB+V-kg* zYtfG5;ZFOshP>Cj|x|&rgozC)J*xt*Ains zDc|_|gSlnzjukO5-_{n8WMe9h6!yAFyEzvU2qXAw#XeoV#L{ zja7zNHxddu2{#}3-+u#NEp2g3jQIY1yKNq7(qfz@6pU=2fd>PPYQACV24O|TCJAZ3 zRai?fHdJDiH|cw+QVJ3dc(fWgTBiCGAJ%u)k|nqQI?3W^cj4#r+Yt541q_3TOK+V+ zCI+~=J`N2rQUDZSRl1qT8h-&E_BJmE=c&>%#Q}F}D^n1qe8q=~1DC(@>;vf-D@>Gl znArYXW5V3!dqSYS%Z04TG}RGV=In1zWNTb{&%UY_qxV>g(N}RXdJkk+r^b&xR)^aC z*CN_lCB&I({AiiMV|?hFLHgzoFbKYn!Je564E+6Ofu_9Hq-)Sz^((}M@FCSbXR!em zR#5-SW)WwFCS(Fwh*ja0eOy@IYgVq8h4t@~mtOF~9_pS8oushqv9Ru?uB}2J^0|KR zajxWB+~WyJyX=Z1HL}Jo4jbApJi-!=f!&LNKlzn!0F0R{eSX-?HKP^;b7PKP5L|O6 zf(v#R?Q$|;D^{7qkch*XDoq7zA!ghAL1g{t&w*1$9UNP-nvxvC21EX*ve;q*0Ak!6 zvILona3MIxC(YQK0*{NfU7LdpGUi^43dUL^7;CMc)5-xo7<)jC<}Jo1T>~&$hcb7B zMujn0Lsvz5j=kiTvBdb@LNcZX&q2n%l#Is=0K`~8VdUCs3*+fplv~*t!$dj5UTIyC zdKddw1tSJdvkMxS4UOXHvT4a*piI>{J4&kHc^j{hT%}x<|F>j@P1F z1UM0*8v)U^SfX206EBoIEcP71MfZzRm5a=(p^)GxS%5utkwQXgXe31qg$U)2G)jR> zNyD}O;PPME(pYplrEwR2!=y3aG9+vNfor1#_kMZzAHdHwMMy($l%SuxMN0!SX*%#p z3jrrW8Vp=@mNXg|xavYSTyV>a!|+i6CxO7X8unV|Sk)5f_S4Ao% zW5GU`!SWi@bS^7(y5dAalgDapEgR`14l9Zjf=L`cs(+flv<&1cYIv!WIQ4=@Vp=_* zE0NfaIF6?rmrO>^$Q;FK)=Pz}Cu0N7@|x`n|60ybKbGr{c>}#fEzW-xG*<(>L-U-b z!Lg02Q?l=&OLhSOCQi$!*{bk2tXcS*bMUK)lC{4tK}0^+D*HK*j9>#H(aOg%hrR9q zQ`Yff?JqMOwWxzk2dmP}8g&Gximd_EyU2OcB)C^rwww6SRW{>AL7fND<6wgebepiH z0IP>x{KwH@&a~eFT6$$lwG(rX}Fr0!^$JD(MlO z@ycH4y@#Zn7mW6eqqkPYdSVsx&USythxMJ)pskSkF3)?=^8u=B6(-Jj@0SFfq?y^v z|NM-?RD+#T($7JUysp4|PGxtl45=hn|3E0|1sM>ctW92;%~Yks3487eybJSL)+RpJ zqkW!-q2I4M`)7BHwL!TP6&O*sj82U%lsH>y5t(tf2EUYak9Cy81YtuR<#5@_WT`^N zZ?iN@%uJBAYTonx-84eLU&?}i%i`*9iN3c6e%4l6(jwFM4M_J|yJ?$HYVD@I1=o;D z9EkAJu$Fh`q#>YP&4QiXv@@+n*iCyOu3uivl9w0r8UXr00N*;|&eIY*X!#!0+D$td z*UJ{O1iNW@!`-xPsx8Osp0>kN^G2gg+Twa=TrXS966~hs4R_PZlrz0BhFeeDQK@+w zAarSq>wn>T*E7ssvwe@dh#rY+Y59Ij|djD#j2y|7)UZVCxeu1fk z`P8@#!h!p|h0%DTI(9oYqoR@1vD>9%KUF`YeGqn$GBcETYKnP-9Hn7SZ#TCwrwmQK zgI%RaA0oH)4KiUpW4K$i%idM`QrNE2yHg0{+;53XfCFJA_Qwus!d}8v6pVY#mFqbR`xEud-Z`|_AC5xAcNj~L+M|MD@PV&UkEK;;_0F2iiyy>qseb|12H zHe@~t9=J#g<89U<8}MUxP&uU zJz$W@>c8jV5k^E-Vb)lS5}6ru`WhIUcxT6$zCHsE*XDfO5`F$5jOV!5{8T=`kZm?-Kd6<{k7QK`1&QdG*;m^wMh<) zS)D@XYV5{gA=$13eYH%B*Yg5Sv{&(hBOXY`>5t;eF11@nioxpT_Jvkk&}Da;R) zaM7OQsg2WJl!VF;^r)<|o1SX`GH-fHxbDhD&kqzmvlKm}@zh1n^L(f!0L##u9|1Fo;ygrLgxRqZHh)DM$LcD3pe$>wz7Ev+_JRzN#zyHy&eA31>^@*kHB`n3LY6F^0?Zvh z5`+RLy_B{nlUo_foliiL7RtEnA8>STZbra~oV43DlL{4v=aB z!Er%yPEtmvg}PZUBrSx&bhnPA&+FOzUP*Id(@=qGXVQiWgYMD6SJ*qG+A<93wnYsq zp-P9zMm7^BT|Pd8mYT^=k0F!)z;76n;{3_vEt*L?ZHf1#1d_N#G1;Vr^ExqeQw zX7Ubejy?o+E6m5I38QL~6NiX!ek33}M-HgjwY*u9y|AbPam#ev8UZ&zN4ze@s*FuX ztLr!hwzEhL{?0^9;@@iF0vCCXfPcdou*{0e+d(C8sPoMF>VP9%@bvjah4HgqZlUtG zNsX*Du8%XDSklJB9Qyj^}fpd@g5G5{&bP=&T+?gyQY3ZEf1`f1-Tf9`+MNtk26 zv}sJiuTP7Tgee0T=xz9 z#(vA={1Ax1ODTXl*e9ScYVir-^wB@5t@9Qf=4(+@?1A$k3iZkrwYmgPttnnHC65+W zC_~bv1b>uDI`|-K+y@#$SC_D+XLSkg%iBjuql<_TnvT{;utpN7`aGT7udDUV;eR2*x z>m2<0J?p(FL+6Oc!5OFVvOY#!+{H}@(yRAcYa&* zW8?_fE7U3~h)Jf%FI+8>+Jqg)t^~nRs%@``vhpF5`?S@T7;o+Zhs ze~d_=2=(AHr8k&M;{aD-PWtRBqMU9ggy5yhahOuN;PV8Tb-m1Sjk3j+VX*pVVwORm z9ly}Hy#5-T#v3L5pvD{w0EXK}PX4-`broITW6VnC0{O&ZKBq zopiRvkYTArcUR(hh%UD7emRdekPkUdxE-UQyY&48N^y31jWCC;^rSEIr(2OS@F!#z|s2p ze~$lJ;lFt+ul`jjlUv}w4iA0$=W{>*T)S4wzJ8)`KUQEYrGs3;A%!?%?zvLKIlH@O zjZd#Y=vMFJ_CAXPfnxmn1_C)3zdt}Ao4NSeov7XcQJTxzITE%)bQNF*QqDyU-}SJ> zJyyyCwK?N~T}h34!E{MES-J?nVJx-L1#^TdXQ~0Yy+$Exyn)2%e{)dh(F2J#BpQ-hXA2L(x?sxH7V-xE8 zQtRDzYCU6-ymB_NEGQdEZ3Ks4h4fO@`tu@<1-%Ky)OivvU$@-4K(h;{k$-0)iQI$(aGHU6F?#jv3 zn_1(!%khXyN9;oE1>v4Az0tt^HYiSq<=tf>qrxKz9=S2R4C2y}UOQ$=ZmbvfX*-Ya z05aI<^O^0}mr3ykWwt#5v%P`M>N{tO+4|Po)vp(OJy+~?xd6HoZxmhjdJ7(Ny&NA52I)^Tc*V&#iabcFP0n{d zhwW|q%o;tjHp@8g1uc0R=g$Bc#@U1qIk|o6nW{LcjI$O`y*~9Hd~O+MV7{Z@SDq*4 zIZlCMeABO&m#{=^)Af4!b0%q3zIMW?RP4U^4YSQPmLqzb7wmhEBWfd%#M{adtwiXY);A3o6FU!!K`JDrQKH*d6S>rkLSG5pulz@_g)B%CjPY+7gwMaFDCXZ{_9yf?y)5Et#ltMV z0a5nn`YwQMk9F*m-3wt#^65ta4D;z+Lm$H%Wb(S{0`h9-({BQo_I~B>pnk{RQtf4_ zX6;wL0JWBoHs5|_AzOVg!r8AZyd~OEn|396bWG}`sc*kBi@|M)#kJ0c#>qXs4&0?{ zzw#L%DQv%Tb=G)Gva8a%kuz-N`MG+h&aGuawfW?8>Fz~ODy z!fYSnfJ#tIu@0kom#flnkBzDPt zkSTmgs25FK)0V@~#7w-eW*ohG#vxObkQs+QYQvqqxmetXkR){ar|j<_1Io7-{Gw3D z1R-gAKS_8i=6-2^KU1fk3Hok$@j>#};UG)-ijcjO_zKircPZwd-p?gqT8JMyz4w|?BMX#K_h>i43u4qh=w6lMs@nE z@$pYY+cBsa+UDQ_+O}5N)PYRI2)=%qzT6!0!-C(B$JY+8yA;Emu0$_cTRQ{S=CB_Y z+{7o>eWXpX9cWQjU%f68kt?VRmA@@g+;BiRrd+$SFhO2mB6Y z67z@zODvF}l8h8*v+~BJ4_6vv)|dvUFs0F4C*fN6SFVb6WRBsfSM4wJd3|TKOvFO+ zQ#wC*w9-E-+rqay6wXgR?Z49h?yiYIQV6cpb|9;7=K#70jQQF}6QCid%#H^X=4*R( zzLug|Bl+4Iws+PsQyh8C#L`Vm zUKipT_SdcaOBL>1gMtevh@iZj^HcbaL?)1SeiZ$&jH4&6=UfEe!1-en2ML%z&caZ! z7Ie7GW*bV>IxnvV_0f4)?Kr8XMhwa`V~+ej3uVOMdPBGy3at^tj$Xb&LV2 zIAI0f3BVl)!vdR|aAhUS)#4g`l=P#A%TdxJ#uhBbmE@upHUn1Z;wUWofg12>JuDnq zgZ)TEw!1=>OfQveiY=6v6mIA`V97`g@cNd8u08kGP zu4AvmvlsO4542h1^Ql3$$KXjQ+ZiDX$yIR9nPo9;3V-81+o}Zs%;wqW{sQ=_vvM|{ z!12FWdncV)y@paBpfraJDfr`VFkyQK;o4?YWpT3K=u`r4vqqsvi6@G?0}`Ti+*>Kk`@+$6}+~yx{gBv|83gP79Fe(qI(C~T*%Gk zHP2ywx3bTiy?+0ZcL1?z+=k!O2H8RLdg@cXgXRnEM(t>nOyAh21ZS^+*hYZgNN1Ov z+tuR|91ikW#D~r+JX(|;uW3(mo{asy=~hrX1%@?F1lfHYznH1EL(px@sVAoqAmiO0 zzr*8XdbaH%lc`V3v#TJ;8(;#S@eALZp$vz>HKMg^L@rHUOY)nQb6TwshR~1qmvSrq?+Duuhj^o#Y4L zX^Dw1#UWdJz6TfAL>DJtrL3mIaqUxk_s94i+{xgP+kUE3i6&&#!3A{r7&kIFc(pp8 z{%$~>i6#$j9G>!kq`{#_&!G*}2GeU=8x`mcZf&R!komu%HU;#VjXb77)FJ?>8GK)o zM?Q#hg6BN&k(p>7D|J$GRsudLIzpWnAtx`?oLi`io6X8Q>@=Hvdr^Hq&AgR|Pq^}J zxAJrbK=st8JfrF>S-V#kUWF{N`u?NhhBdUEiCu*#5xEDhlWq()R=Jx_#7V)8(_-*p0wSGE@6#2S7nX%cuXWk{cHna9sc_&@Mhx zijS?HGIxbu6@U>h4hF(F2Tz==v-9a|WF@yKx~>d^jjlus$y<8>2Oh&vdi|3aYjlYz z$%6-QizDDBtMl{1{o5Ym-}+#W@NZ>k=5*n!NP+mbWq2Iw-#Xp0ih~&R-U2x_5H}sr(XU9;W*9Zxh+4gUPbNjcyZ0Ey^bWXs(JrAG~YWnkUa9nx#x1S#U|0n-;`;`9~|8@l7 z7X11<;r^`=_8jm=`nN3!#pT}?CdI#TU6R|sU8{ z6B0HN75z3=jzD3qv|@i=(ELs7$? zsEf#E$BwLVxMZI>_Ol10wmA0Q)@6NKtUp7US1Pth>;bARlH*4C0C-y3BB4B+tTBS! zcsoD#@Wy;yYY$s}^85kVb&uQ<^HJFzqGpX-k)rh;Oty#hVRU5X^{G_OcU-ymXze^m zJCK;EGZU?2oo}N*;CvhXRO{R5t!Cu|&gkuGj6KXmojJ9^J#JH_-kPMqI1X=aA&+ zO3atiKjB)P=gISFi!qnS%$GSO-BZ7i46d9|;7Sq~tI}z-ZTojMW|@TwW_%ugeghyCUNiT};zl?{0g|4UMXK^uOPof(Cmgxm_5$mD$RXn)XQ@Yl# z?I&T8!3;$Lcpf2*iu{IzM)G?waeJ29te zjk6pb%F4G8oGjhAlcb~J;(5+Fj*+z!0yv#hM$!7HRl@cFwZjSD z8M~Y>=FP7m7LE6too*~Na;rXG82<+hNi1`c187_>A!Q3D6l#vgoVpplBHJ$Sl`ET( zXBQ@_1j>GNbRUPg`D=OKIdCe|_uT!a~mrqyTqTC!dWJoH}+?8M!A-3-B zkbIwe{-X6YQS`NsWQ?(x7x^A!m^yjO*uKY>@?g3vCQJUnI;fV_(eL7rv{w#TA1p8u z$h&GY@Wtj;73uNCBVZA!3!Rf+@j|2>?OY0hKqd6xOn2ybDRm6J*pXVEt@6NdHO5o4 zS2loFwhctLii085Dr+31q=2qun*oBVrABU3z8Y$5p&C)g4zpR~HD*oCL=)2|*w8#@ zQLN@%N8wPXsT9tnr6_zdE}(GwRCGg~t#tXyeSZ|8aBORC(I;1}m53A@l4x-m!A;)$ zob2~)6Bb|ZI7Bo*QD|M-BXV`GmKuczIu~0w9GAv0{D$RX*U;ZEr5Liw<2htR|BFo? zD#ea;bT!Cx*6xX;Q>(?TJ&RbiS#Vb&trkneas3U5wMOXwb^6s>yQezGnc&HOyEJK@yYkl-t~6%mxuCxfzHa>yG*W9#T~xWecPK| z;5xuDBEU{SkW1f(ioTB{q%eJ#;DOfnUPGzxJ@LD_`d;^g|3!T-84Ff)|6Wm`uKx%0 zeJyyh^?j_yNj%Z|ejiQ3^}RLQyY&5VDeo8PIlis$+f{L`(zm_&$kz8hKoFvD49DYU z!Ckw9U>wGH-6k$yDfpFj`?aiK`Nez&m$}dM+ACPH#@j?!-xC^JpGot2F89Fu)0%Cwy%S%-bQF1G09yMfAR};JdobQn(%CTHrhnUx$`$EJ zuOd2DV7P#3i5hX0qK30r0iTt+S(@+BgXVyVUB6gag*a=0>c8J_MD^JVzYWt>X*KQDfwX$%uLEiI z_J;#$_2I_@X;uBzKw7=I#h?LL)cYd`(&~&I2hwWhn1Qr<4dk`jG=^ zRdvEZTAg~Tw-rVJWv+B%j(ow~^~{~&BWUw?qVcc*GAEu;l z8P`1vripeX95!$eW-UMCBoJ>wWL@LeF4!1tF$_&SNGjUqy?!-F7rE}KAGZ9#)Dm@4Vm9gvZ4sRb1x5S-tH6sVV$5F(gO zV}k7)5>l-Rsme_V)JO{Se3)sMj(tHvGW8O$0}lwj6!=liO9Iw^qS$I`i9)4tNJ6nD zp*V^J4wx;Y_`3@fRs^+>iV~sXOGQNhJke)Gqfg&rK1Ri-pUChr- z0xWK|fD^;i!l)w|hbS`8M^7CjJrVgk*<|DHrywtyj8U45>fB`Lj-l{B&5RvM_@R*S zB|7Npm~>Dvi5~~3!1=c*izZ|ZR>n{srFj#gdZ@Juq2o{x0)M5t2h8{Ry=cDPJ_>vJ z9K~891Yp^QvSnIlizZ}L6d_J0$r?Y>Jkg<7q@U)}D+;BIw|XM{+uV}=^X>7h&No@A%N+X0;B zzAsfXx03-;1yG%X?|(gH|M7Ez#Lv5s;LaK+;@2zntWk$Ds$R#>^f&wt?xgEb>Tn+t zKQmDhaL3Q5T(BeJ=Q1QZ1D!N$e1u|)Du)hV{A|vLhEh#Kc>KKcKlog3X#AWhgyoK( zv!aOb#LtHXT2%ZT4Jvf}e29r#Pb`OtQWEYU9vVNBxe3W5eoh4bKmf}cr=pltxcg-= ze#WCnaQDl5T%hufpKl^R8sZup60G>iuQi8`>l_l`fX0G`$oTn-e^VPOe(o-L*$|iQ z7DPz=?8!|=?)Z84xX`}wB8sVt{^MtB6a{cDcKqB4>{+1lj-SUWvaEJi_jGh&#m~Cj zB*4}DO2G9KKfn4?`Dx=;Nt%WzBU}6$ACtjBID;-n+6;| zdoPUWrM~!i6=`tCPZiBpjd9{<@BL2vT#xGCcKjSK@$)QT%o^L_*Xx|KMj6T|CLKS= zcdfLb&SyYXM2 zkTPrR2(qXTci3U!Lazm3RESO~?w&{2UUj__^VNh@RuAlgM+h;m{PTe^|8R3$!;%8NEGIGVw9YBH=KVRC(Pes=FH_D>r zVa3nlya{19!-~#Xdd}yce^vmUzmFn*&PH)w@iP`hh|@{3#-B7#BS1^&{B!W91CF0- z&X4G&zWDh=(%_DtDw^H0qZ2>Nu&m3Pe?EZf-*)`mSK{aHz=(a~-wmohh9^}0RwAZ? z7ycpfb1+JsxD_)0Y>Scr#cieP4t2qfh@a&kDa1#dk7A1|hYnx-oSzR3?HUp_0~)v* z(Ure_=aZ=T**BVm<&K}#QABv+=l6xpsQ5VzROtEVM4%m5{4C8)NFMR?A#BD8iJxzx znEL2HevXPF!QC(S1cw%=yyNGoLRKJh1>a1yNe zIV(2_h@U$Mm;J=gL)Pi|X~^EM5M_juVa3m6ZZdMk&nG@|;^&RB6UUQAfG#9{9*E++;^(HBIXg+#xK{HN89(n@JK*@)6GZ^Mv@3u6Z*21M#7`B? zPDHVlziqj}iJupOqw011yan{cqKj-Q{(9w@hpLWl^>-J zoZyA;J-I;T9Y1#zvhs+Zz31h04Tzs-16*YMJpThHex6kn9L@x&2#KHVxyi^KKR?(y zv~O$;nxdVx6+fGzD1ceo@$)nnsJ!Fn0!3Cd30C}^nVST3jnx9KpZNLa-*o(ZZDfQp z`j4Mwxyi^CKTie;*8KCw0V?qR6J^ozu;SKcC0GmXP@QIg0a&pBv82*-7yJ zQ}YxVKM#Lz!11#?iU42yd=eaa;-`vc+o9NspRqYk{M?tS`Ig^*?ycT`Vn-0(f8y6W zxxxESlu`9MevW)sz5hh16SqR*XG@d>-0|}%7wm}mxopc&AMp{2Evg(keDSk39~w$E z4dL-~*WRf3Ia3JB9Y3q0i15VEhXq+MsKEPAlts(Kil3wMCL~Avya9_m zL*nOSC=M~t;QPbPy#E9=@)Q|A-+Obw@iP-efG>VtMH<}sTNTY#4RzvYHQ(jx z^+W4X{o9V8d#U%Iz=-#s`1N`Ny#GWQ#iZlsgKwz!pD49DM(F#`<|qlc{1P1KU6G)<&K}pC?Y)Z^D==J6+cTsg`R(2 zI@qtGeB)<4Hz9e%&rb(YAHnyZAdC7K3h!&_!*Q6_{BzUUdGyQkT%hufpSKBFftjB} zf)zh|a+ARKp8yvbKks|piJ$Mqg6arR5fVRJbCZ!fe$D{$klYMUYM?H{qlOhf>!K)d z$IrK1pz@BNTPw1nNwDJQwA>^hex5Ag`iY-AzNX{nR4n-Px-T6q!X;tlZ%cBMkt=@w z3Lky7=ASQNg{7AYy#GX5v^=c%IXrJd*vD9$T>_MVkTKmC*DDKdUu z_v(P-XL}R@zWDh=(%_DtDw^Gb^_JHBGc(U9cnKXE{g;@e${v*rLjz!xuki=0iifh7=w@&v-d1 ze)eG%W=QA?tRE_iBEl0tzb|Y?#m_Z8cKniDQN+&!QJh!&TvMG#KfPA-6d6Bv$Cn?N7w|a?O#z!Zo|~)Lxr0XUUulaXz!yLN zjpd!5_^G1Vi72+>XZ%{K*{MrROB7P1+m5}(kx2vT?hcA9s=R-rSh7=w@zj-z)ezsy2W=QA?#Lv za16xHtwB??v$o>rtSAa%_-8HfJIw_u@A$bukrhpX6+e@?N#OfW0oPCbJavWg)5dEX zB9zg8{EX)&BUk)f{bwhB{y0Dd-hZMjS{_#X+;m1n_i$9=>7kM9Z=c5^Pk-1#{QMln zA?6v(-}XciGQ?#YKhiu!#?NOum0kuAKU<>+@Wsz3!I39^s%W+yimmuL^Kd7A?n~8d z_WRFGU|P;kc-?b;!W2YAoS*PL_5KqpJd>%%hGRi=)~J*l_ZpR~aV5%V0fPxFU2xEH z)Hf$xg;L91Vj9Yw8w*MbxEpw3U6crD%;**wG*#WyW1Q*`1!9?!dLA*OBK3)!qtNlO z=-%tAaP9+&{f1eY>J>sZVUz|!rbQ6~99{|(Z*yb`E-eMyfVu59xcxI}9{5urR;!2D z%8`NWURjV)s7s;#CBGJvq3T^1U|6?(UCusG+@Ti|?n6na4R za!w%dk8)zd78c&|#{sW|Z4mhbEIJLwO-WyeQBb8Q=w}MMFRWabN>-eq4qY(8k-;i|7g6PwAc@hs(Pa0Q>nXmUz0TwAO1#%@^L>ZLlR;1XRBW zD>+dy411UaY8Cc1r$uy00Jl5Eq&V1eb#D++s)^XBh=3+amQWKFIO@r#3DA~Qv;{kd zl0=!Jr7emUcjo|xZ!9?QPbHd;9RNaUqqW1<#!dJ#omU78!7gk3R;MU0a1p?geXV6Go6H-b(QZGoC51>bn zeG1Dvk>5)F%aWo)hjN!ZA_aY;G>Q&K8_?_)0Xjx&I;II7FCk`a-)_gOES6FFHL@y5 zi1pw(Q5rq%+ZwZGjq5iDZ!ta&(RXS@ztG&{lM(XClh=}FBPR-7 zb&DW2;N-zIY8r7GaAM+e&p&Z+jeFv9ITV123uhvpgKOO1f3d&c*Z+And&qp{Gc3cz zcLDM16>Zk&K^dj1^L-aRF8RJ!Q0gc>B;S{bVtxc@w$ce(fjtX0#wi&_DdL%uvd??l z28e<#$vDJCl|zRw-`AWE4f8dm@O zd2gE@r9VQ~${K@!)~lirB0}?h$=rl!|IDai&0hB9wRMyfJkne&9SyjItZ^TTsYy1q z^x-7itbAWQiUf@9Y@^ud0+n~ZZ*L(hk9^;zQzAO0LjvaEmjhg6zVG};BsxIuS>v*I zg6arR5t8rg$xTM?eBbAP3GExZf+p&Mo+PLXE8o`|MFCpby12jv3Ko&yd2e?rvZ6__ z@_lu=Nr1)uUBJ19aB4nt2*`wCV$|^FL(&to#s_alC?i}F*88$)xyjHSgK(1Rkn`S7 z1_|h(ViIQtsK^>7%A)0A<@-wVCPeknv^BRm6m+@IdwcsWny=SQAkY?o3LWX({S@O3 z5bi$jZFm$RPAAD4Kh`|ap@;6bKOCb|O2kKqrhv^X>vSm4@5_3pMf6f%zVA6O>dE)1 zXf_VTR=%(9FxrVa@9j{k=3CD9jg$EK@S8L}o$s42H}084)<~g@7J$hMNGo`JG3uL> zOq4o8@Z|g2qeMU>M7QWc)6K~DJ^MzeulNkbe#5jzvpV0`6h#Q=@KT_7%P-$|B@nCV z$ySaG)KpjJ`({R=rVQ+S-wI*P?I3*lz9|oe261N$?x0tb37zjNi$WjZTsa1RzVGD+ zbl8TvrmUg-eO)1%0-f(0otuKJu>zni)5@Pv`r(bE8*y<4{O2-*-Il%A6XmZza}<21apN5=DeFhjEC=H{W-akRvARMs0~-=lg1NqXuhTiZzQ3$iL2;?>qWFd+xOS zwP1t{P+@67=liO1Q=wH!R-*HLM*(**%@c`T=lhED#vYmPJNjPQ0eW@>c5hP0KLeA`MzYU?g?S}zJI*x zq28jxvPGTmYs*bV);QF~4Wtl}?>h_#gQ~Fe5EcQJ8R~rB{M-b<%`OpUT(KY|-*?D8 z^hX2D_f<#H0gG_LVXl1NQ5ELC9A`M%O98XU9KCZPgTRKD-g zm&3eb5XV!pZj~b5r5cX=J|d zS1)b`UgrDaIq>$I?^Dx=3xLzg_qiu7^_0Q4lkXcV^OeCslrxX66pM|VneU@F77Ze>s%0V+cBeZzB;kvrd40OSGF%o-C=OaU^wSbf70gq82> z<+ry`t%NZFe?v}X#=0~YsJ!!ii=P#;qDipweeJnPz`$XnfO8Gu{Q169eEmZM>=;ub+T>N_Z`Zj2yyq*Gc`|<`MzgvS98~A?|b9VqI<7RWR1a^EO!sFCR#e**BwO&9fyYk#an*)z7*(D z(bJpo@#OnjqEM4uYq~w@bz#lzAbk10XPZNVxHATKRG=mkI^S0tg+9Q!at!`_-*Lbz zVH;lTlupXu*A=2E(D}Zq+!SPu*8$oxt$g!+TM9W6soe6nCiyzwR~&`jkw5Z%CjpkD z%3!|l=wB)E`VIrJe}{0q`wMqy|Cv>ed* zso=~dg3ZbIU6%z)vn@5}zlL%r1vEL+t1zP_s5-N2}D zaTA^II~E9os<87A76FzS>U>`&Hvw?7D}@E%_z=(LnQk%~5o~BAjrTE8lkz zXwVs^A1(FwN##$xW~l;G8yqh(ZnL6jaLiJhgbGYi`M%Dl!@Odyd|xsOyCVT;q6}>5 zVRIZ0Li2qkH&GMe`M#NoHp{K~t)fgxA|6GHyL05s_q8_J+IZ+GzcyT*%TL5`MZ~5b z=k6P}Q2WjI?GNmMQG!dAegb+RS=d8Q6aj9Hj9)Ck?S8fy`M$TGWRK0A?`w^s!_fu; z*7pK*1oM5{-)P6I-GJOXY|WAHtIJJ=OQ(_fzI&Ez243d-rscrfZ@y1WBd!8YE8pjy zxZF$`d^`ERF*0A-7KpM&XNRA~tg!)Q>~z-pzO>}~K0~Qvd?ES1k|^fg`M!OD)q)+7 z@0$oj(2iH(`2LxTDu)hVzHfLwG;l31X$a5vU2_9HQh)&D`_{CRu-y5+Uhc;Rf)e&U z{I&Bco_yc#!luNjkbK`Jlm1B7_l*HsuP{Q02+jAk=O#q^XO&F|&-eZAi4c#JHCCdS zQgr7LoE($2zON~Y1a}@`2XJVC$~)h8w2&3Zv^gYL`M#OCNx(e(W`K*#_nrR>i4Kz7 z`Q_t5bp)sg$@i7zCL?#g&j9j(X=aVXP)q?b)?0nU5rmcR8y!V~6C^p&eBaW? zgsf;1tbE^w%80Jvkbr^1FyYcQg!AY7-kdKzF>4e6vA=JKGQuTc<@>sGlc75X;e_V< zP6i3+pkfl&Vll3limY)z%A)0A<@;LlCPekn$b8?|kI;O*L?F=a0V;H)bNAC=zOOcl z5O+VlMDrAx?>qc@r57I|nu31weN|Be`0{=0z^EtRr=r!pD>ETT>C?AV{t? z-JbNJu;z9UzI@-5hR`6c(1&rZ^L=em=mVT<+57hMzFe=vHq`Y~Ow|G-`DhAszHfeR z3bMwB0BxC8zWKf#g&c8?Zuwi2e4X#BjzaIqANjs>0n1TkFyFWGIwk&aKj21hS)R`K zmF7mT@W!E#V7~8o;FUQwT;E5FL(Ts?e^jC)0H+`J%9c)@?;90GgfoY6h{!kJce{`y zChJCRiC^dY`i_Y(e+M;K`@3R|7C>0ReBaS??YYz69`wg1-F4kmSX$8ezD#Z^v`Z(D z=zQOKz#UBU1Y}@g*ZIEYys<~-`;M-o9YCoO*u9ArPrh$f6n4f>%K?p_0nS_^*qnUd zFCPdqKu^9e8AXF*1zzOAd|&cf-4nv{eMKO_1;FYCmM!XhUpzMzS>tpUH_`dNGwu&F z1o1^-W~lRhn~u(-Gfa9DuswsdkbK`E*U%pgG~d?~MF%Xx3COwfeUH!48o@q^`}}=U z`4g{MDxU;T%luAj6b+79YK^ME6qWB=g_W}+V6g4HE!752I)?2ZHw@T$O; z%ejQ+``WIiCc^W5wTd>&t@&xu`MzmUw75G*&U{}n=+N2MWvzZ~g!BzdAUfYylA8!e zsQu>qjsW(+D8Z#lzZ7)7Z+H{|ZjFpzD!}c2wi)@p_4lyH=Fa!^PRXN>jz1_sM=;;F z@aJ~S+7HOR7Mdg9*PfdSmrf(|eNX&hGw?Fs*OUWqzxh5jjWB`J%J;b^F2AP?^3C^c zg4UzYAKFdkE4vGGdcN|)-F{B9#+N9w29?;4v=DtC^Ob+2)UiR&ex#XE+|!f5ACv*( zX3ST908ByGWSphR3Ug0-zET!Nh|?LgU)r4c%GyQj0+vJ^8F=O^qoYuhTx+;6=^$aP zpZUsOSI{j6xwqdLIOtVa(DRiI$%yV3;GFbepy->gJoGcVK@5PPt`01)4R|8Qi}@+g z^Of%06l9HqAWBP+`Oa6)7jkmVS6ZUbJMzbT<#E7rR2iJF+&5c^f3xQ+wYkwNym5#> zIA8fb@LKbgL+%PS|FcmX6%qA(r7DUDCn7pT|JB~{{4a1@B1TX40^s2%T0yH{YRZY^h68IBya3`zOv@1h(73uUt^D)uk3v} z?EpPH0=su$=$WsyMPYXahS0!c;LIh0&6%&f_uDW7^vqZ0N6`?F0J{N@2j?rrm+77m zHeWdtB)F)zJdtIKdcIPfn~JRQfQuUt60sj?G1m76Rbh{#ECQ@Sp`Ndl<|Y7cc5h+E z6$?VBkf%BUzhAbckv=bEo9oTW9AHGYfbwccK#{E62rRbbNdmA)e*I)!7F zTB9m3Ma@^n0W~UyVP`|XCiHwI6NTN805s7eux;l8b12ovylg~0buwMkCDcUte5L!2 zP_wkGLeE#4qiAt=j-2zA;h;m$SGECKuRKEfhDC&)uguC#gicOYorvg`#XQ=P>_|+d?g-5hocR+%Xz}2-QPh+aK7@hi|m+n z?QKDg^t&HvQzD{wI8?ZF8aZDXwmEn?U+Kw#x8M1Snnt|!YiGXF{e7^ZU$p#_wB$Qq zX{ME>R!m8)@|>62vxS_Ox~t4rE(4;hF%G|8W6v5@DC1aSE3wsr7cP+X3CE+89o|mt-tKu|<_bhi`pCT|P7n*EDPix*mO2{O zIL`y~vUkp>M+*2vtWPKv!g8-qm=;Aup)Ck_$Lj=|ct=f$`~0D4phB-tnENZgit=5b zP?DPvJ#S;wfGA}4vM;Y)=MN16{@}dD*c-*v2b)^@aNdbq>l21Yk>Gysd4&s9-s=R7ja0yJp7*k7r8!Rk|$+k7B^)uo<^RC?i}F*7}69++^sEK{%o76P`F%I;c?b*PH!RWQ`G^ELtAc z`h?MW6Eai?iCmv>I!FjvpU{Y69q9Zcg#fN=NuLmQ6B*-5g-OMph6=+F@~ts@u8 zc$@kXzVogbN-yEV7d8u0pwA!bjv|205z(U}^&XDy%Noa!2KV=_R5V-kOJ{vT?*uw9 zb^g#(sQzuQPuNA`=l6lpUZ3!nn}QZlhzgXJ%83wx z6`F2FzHbU>3h@<}q1bPj)@WAe`)Z>I0UcfnHYeZrWfM#^SH7<*3N>Y5=lhNn*4z%l zw?5(CvqFQoGX{55&?~Q|b-u4S3Vncc#D;RhV~Bj2|IupCt)O!&?p+WRL;{Na9pM&p;iUZ2pG8@<9C z2aI68@8N2R(@eLHKYBr^`CpD=ijDyMMCg3q{3s%v*@HtwzWKg?r^OolsI44}&i7U4 zMh(`EQmk39Apbh=`9t@eY0sUG0AB9^Uq`ku0qA^RX>KZXP$7`$^$A^E>bu$bzEOE& zFA(exT4(Z^yiM`E@%zr89iV4NU}r-|`JQ}VUwK3aVEnWk(D*-tGnWW3fwh8R6RP!l z-uP!&d2DIUp}~{y%S6%O7@!wr3?`zIYMb>!A#SNqo zk?&jji!ej5=l>P~Rv6OxzFD~mKvXVH(l-zPcy^Ab49N<9GE+6dK7l z-&gT}*n9i(wQtN)-=giFBnS1YKH`34R z_s8#(*9-36nYrhE&b-f@nKOIuU8t4HeqX6g4~GqST?-qT81K--yWjUkX=2X0<5n*t zgYNg`CD%gZ)Vkky@KA7Rzb`Wh?x6d9B8}L&&e`wN6PID=vXuAx2ERYyaL!k50Z<}e znZPIJ{nXz;8BI*-@F3)JUtEgz(SplS>O=)2U+F!^G7`ye5t-X?=@9ajdvQ;(H#7yG zLouo4HyC8T(qU5sdYBpr+fuuqn(uK=majD1ph;b|TqtN^)3iBimMdS0%%!mCwceU7 z$b6;32Jf+)^iex}*aj9~zf9NLK(8yPEZRpOz5DWxinbrlEfK$#_rrzx5fJVL& zu<779pb75HR~k#?Nbt*7p26+KngGRv@4wL08UtA~|i3j61y2qr5 zC#GA9X+h>IWi}lgx0H;EiOH6)tOYb0n(K3cP8YhFkoii!4c+MhFcG+kWG8|P+`*f# z^v@zD{PPvM$Jxg%l~)u(LF7)^HeDRIgc8YqAE^R*$n5djZ@SqSL>`*rNB=17PK_m^t44i2hjm}_@acL;|%DvD>3}SP^YTQrVZG$Ft6Zy(t z*)(mAa_1|RVG4`zQJ3|}@|E>Ac#q|zk2hcW^n40~;(qE%+*>{Le5F3Q26+AxqLpi< zny(zn>Tt}^`(G_mWWG{rgLnF$p8td_he~h0vg16_{X@=I@{+>~+z`6oo3B&@muDfw z$Q`(+*y{kn18V)2i(+jc^Oa1S5>5zikoihSaxIMTZ@ZuRYG8YpsaABEuQaEOZp~MgP9r}6 zsTOo|VQAzl6*hEdVF(7wVVTwin1EBxSH4s2=YU4OQfSk`@d6Xvo3B)yD@TG~zLEtM zGy!g3l=(_daxL)ur)CX$!IH0Bb*-NxB-SrV0p*4=U+JHm!eA)a2HA2p5;55)UzseC5p|$q1hRta6V@5l>9F6fsHWD{VF%9JiE=%1$Ws|9<85m3~pt zIxS+YKsiF44c+MhtWQc{mSlp$Gu*+OuXH2pu;nXtLN^uG++HE`l@gmS`rt^KuY@5@ z<}1&xaI@hvHk511d?h!z5`t1lYs=5OycqT=Ta>a>L?vP zpT~02$D6ObHig2V$X7O0`a~ipin;quu=H!VnBR4$HJI7)rkK z=W;&>H1d^tn+}cxn&94iC49OZ34Zy?e5jxaP&_DKl=(_&axL)ur)G^kU-`viKSxNc zqm%+_Q7H43yyOaCl{}t}(dGi5d}Yccibr2JU&*xT0UvfIiiyeg{mNKCqoElQ1Ug;lW-Bn^mR++6*6CGw&|h|j->fYF7%N3%6@<~`@?5!C?#aRQjuH8;&d7v)%^n>>zH%1SN+n;(vFYKkfpB>%8<`mI(8HUrv`kFQSvQw? z85uNR=|9afIvg!DPObUM-b2Bqe5ET1?x6XKNF(0Ct=lSJ$sGeT)bpRD%YP?dIfV0- zY5*m^UpazL%P`IY1v#Dlj&v~sOf^OcQPBxVD>|J5Qz ze!tRls%7Lm{f~TQFW@^=dh?ZsPZZtnABJ^!H4n)9!P=9<3)~R8-xtg;u1OpkcOzQ$nz$t&fa>_US9MH&DI&C^Q4rqdV^OfA=(8K)IpJSE`aLfLZYdHb$EZeDak;b15Eu-Fzi% z(*q&InUIs^D=o)KM&iLwE_IJd5l>9F6w`vtS3))&9JiD%AtokUzET5dG&I-e0-Y{& zGa>Vpfr*wu;q(BQ=x5o9;NqLFG#^V$_~$D*LO113Ze0qYAinGAw&|h|j->fYCiIZ` z$^zWOZT5#YxZFy}d}V!dC7ezjG++5(4iVx%QTj0=^Obs=0y-lTu7YWrF+<2#ZiiZ_ z zN*(X_d0$O0rbyqJQh#5~yP9mQQ#yU#SMxq@-u8y1;7ILCM-SKgYC2NUp;StuHz7a= z_xoy&rikQG0Pm}5WX1R^4!YD)f+y?S$F}ZG0qO3s%{C>B_tk7=X&fD;BF6h_pamxm z@!)GEZi?`(3F1dr!uR)dDv~QA^ER3_&=tP#tGO8Xo`@6=-hg7#f-Y5E;k+Y7y|1Rw zrou2>Ci1sV<@eR>#y#C~5c{j3-dB^8Tm|Ie=Rybj`)ZEj*@0h9G8MSyi1E?F z=Y2K(CtHSx))U&QWmYo{4SZV%uvalN0ULqyg$sSh#>XfoF7ye);X=Kyrpu-QKoVS> z3G6h@A zH&E>3p2DB>_toUu6w$mhc$Bos{=S;S1urf|qy~e&uO?tqfTA1%g?eAj_h6Cnz8W!` z{qq8zHbei4$2aQJ<|v|O$iJsE`22Y=&!4vdF&;b(|C_xY50;^fsF(BSe-7dKb0JFA zz`zgbz`tfj;zU7arkFN*=rudI4&loD88&_XykC=TnLmFx!#753L9x=x(Ze-=wx^;) zj?_U;Tdw(YUzqDZe}-8x&(y`sZt4g%e>U2bFy_ziur%BJ*_e}UXKQj5Fn?ah;`GjN&7Vty)D4(FYtOTg;olQ#{;W!_MzZJi)BpIsjP9*>s6;oo-uJd6TXet6 zco~Uwc;$KW`?B5>EK$IL7q}sGzxVsHYT)v645`CB?63N`e*=oi{Yik^3FP->9X2JL zJwQi^RKG9Vht*-1)uC1Q%kRsYlS9L_%Y(Gj~BosyhT0SG-+v8V()SGIqt|L zhpw)LVnKdiR*_r_NhJxvc5t11_~9Bljl9oB!A;&eo7}{Vi-Vxc@5>5PMz?-nwsc?e z1B`47y7|R~@qJm24V@-n6@VtZ0n4;57|Qo$>9|xe=QyD8eOdo_%P4Rh&;<8>Usf?% zjs(B&%Wf_(v{zavUzFdMbtTs#9()<1lr`vuQt1fY=q$J*k3~4bE4a7aOGRS;Tq&U3 zP<~(5mRtdZ*%@q%HW&DOUp8+P#iOtLeOaAN4;bl8$jQDhdvhPjNIdw=neH(u;)&^& zVq$7X)zAtOE>EW<}3G2sfWMaHS5AXebPoQgA9o+v4?c9tE`h8hhaxFAYt>2d&Hxyj@ zzAQfp?x5e7i8SKhxD{P}U#2H62cXMR{=RJRd?m>F%69;i$X5#Z#Js<+5@i(1#hO0j ziM`N1T5t_Y9UnCEm28{!6iE;d+HvU+@|B;S?iZSZTTx7E`GqH$uk__wMx!$r9ZV2;J|^S1tjr%2ysf)z|(1fMT`4ayx;{R|e=Y zMzry4ygM=2Q6klR<#JXhF_|l9)%`MG=}r!f@c$EGnli(iugpkK#Qy&|#T)xQTBwDh z%vaVY*TM+@wtVF{V0)LTR&<%K)TfMY%~ximksn}WThPsgp^>kY+R&YaAs843%d{@Q z1f25yeV0u1b3h|s$+PL;IG_pc%~!$!ITHNxl~?l&?cKg8^OelxTEv5gYu2C_Ecwcj z0Q6FkSidL*lpD%?rT5sR;}EmreQb<27x?5WQ{wnxrmvl^blCJTCWU1A$`fA&)CxK4 zWcQd9@x*jXF)_(}rP-!~GG8gQ>7oygr1?rN^pN?=nh9<;w87<8Lgp(u$(0b4 zQl}1@uM7jaXO*B)=~h7IEB)gvV?$?T!nas>Vw{1IRP&WbPNWf={QU`CHa#3R5H7!f zG_6yihc{no`8Q=y`2HF9znM9W-ANX~YGmQ_-PLN*W2hpo8oFgs+h7 z`J@WCzi<8Vq?qT{01g}a{Rsh^5+f2Ff#~=dOXGP;Dx%-tR|hTR{e7S2x+(He!uS5Z zp0Spo;3y*FGtC<43g7$tt_8j)BE^IEp_urfOBEl^%>nBEgm#+>`uCoH(?q3we*)d3 zF9)%|3hMrZ#^fp>4_^dv*83B7e8IB=eO>QFSeR?oTL7u7HZtLMqdI*>J>9q-O{gVeMYjKfB zi+J#xD6{v6x<8>aWktxlFi)!A-odLiF+1YM&pv1X_kDRRxvv>BG0}dGVxK{RkcG?i z`}o?>EWWQ@wv^;2880)bs4MP#+}r`<`Ia^Z?h~vf z+u%Kxi@@OiJ-Um5tM>aQA5H!58VYs|c3^gp15xjPwc#Z9 z`|54*PXA-S?{wfhRAQQN-JdYy6Vd%H<9*N*nOb^^KImuFML$zdENQr+*nhSg!0)uC1Q%l*F0*xz$lDI!%%ij~Z)30$Wyg4n%nN8P1u^{*RdXKgYLZ<^Hl_bP|f5I8S_HOfd`aq$} z{l1Qr(K8s`=lAHgd_;bLk!?Yz3mx)}{k~=!I!(YT08N+#%d{@Q#H=R6W;7f0_vjYl z!2rdaql2;ES7Fn^xBmg|-S6w#DMy0ee&2h18-8LNKxv_TQSSE@Cf6b!JW;a-idgph zP6D8p3XcNH5#)YfPI3h>D?ZG|Xmf$je&5C&6py~{{R#ac%WweI&UBb;zwdPDz>{4( zc+_F;F)8AS>6T(*lKXvKHXR(dl>V3orNBg?|NRLM9_kkrlkNAl+0cQN7!(B|n56|5 zDB<1j%iB&&`0w|Hg>K%#A$mgY_tn{S(FaG;{l4{m2{!IL#Lb2_venp7u9=DMrQ1c4 zD-y$@lvLHa#3R zFk$WG(ZjpnchKJwb5{IdFC&BQ_w@kHch%@

    b;6@B8*paB07j zzfVtGR)K*3(th9moUeR#5EulAh6@sYKo?nqlat1uPhZE8l@z;&F0$g`_^B4Qw8kzb%>`R zNPW80QGzFH=Xs=jn-b188|JBWHk0QmsffPcH}C<)BdkQ?!8E{{oq=54t%UD>Uv_du zWPBF83I6+iYw+ZSCnCjz52Kj0pi5O(ID1TLzpw8|%SariImIqbRLc8(<5?}wPMf2G z+VAU3t^)G#RS;+0?_2t3o*j5|r}_Xd9Ud)w_WN3stC4)a?{nN|?=v=zgigc-%`RRp z)P7%;O#@t&7@*f^qEg=PdqAjVS3&Lfg_Em*q475sr!C>!`+eJb<$m9Xxc}chHkb_m zo>2RJq2y}FfkAS7pXa>{Du~^-cyPW)i+C`KGJAih{l0-CEW-n^k`iNtTKD_j%_jFX zy8;t!2DFefojy*T-L^KYG3gxy-8Mz^ae9%oi2}Ose&5vhzV?3KdYb|i95H*S{l4G9 zP-DMO%w}UztoHlbve2n=zwa!f=D)n(w;#`+zrsELiT%DS`NZ7si=d1=Af0TO*>PKaUAjZ4KmYB;Cud8C(cQ@&5E_zcBv$5g9Xx!+f6 zQv`aL8VK7`yT9*x0E>x>u5$XoxW6yY22FjS((Qs5*)%;sxc2*|zw0}RJ8N(UUL+Ip z{=Q5byvK487~K1Pue~FuZO}Cxj|6z1f;_xpMePZ|;8!51M~xmK$CeY_aF8lpD(ZzLMk$Ak1!LW3;)zXTNXCn-q_}?tWjcO%HUwGaV+|?|b46$w)kS^4{(- zDdLIgmSSR(`+WhM4vt$&M#aQr+wXe}PZ^-0xlk17bfKFGd4FHep_U=(^Z=O1gIRKA z5<&&s!Moqr{yH(?zu#9XbW>r?t&806Yq#m5500e!eYwy>?!Nvo!_9`z*if!1_xl=? zE8%qNp!F4SwL-_f@hKJ(+D6CQnq!XOqvpzGd%Pb6}pn9~7b zVv_kvD7gml;6dn0GOfj$MP~D+4)}5$NI}>uUe5IvJG7=BoyxTn{MLaRxQp6;guN2yJaNJTd zDkdgdzA_xpXlOT=nl}>@{`t!KfBCwl@(P)+ z^dFSM;7FRUWI_*_uY5Y-X2WM}C?#aR(v@5Zr&9;bS5^W2Yve0!HU)G>CVb4o6XOhw zq?)fBjw@5iSL$qfICCY!v{w^;ggXSwG$+gfpwdN~-`(g;Vl&|C_ z!5uVT5oyG4fT{A84tx=$zG(Ra^}&B9U&-QpD7SKXl*@O~LP=nAGwMPcmQW30f3!2E*6L zR}KNN7{vN|nS+M&d#>#^Xi_(kuPlKmsR5N^!RGpYWyEt77Kad+<@YO%Hh7Qa64#Zl z-1#hpL6NU)=Z6biUokjQ%y9xSG0A+TEV%~p;1WE{pMptf8eToWhtIt@H#;7E)-MOkpMRZ zGGFOC(Bl4140e=AHD5W5)k#d|3RL`VU#UtN-I}kAe46|Ko@GHd7luZ@61Jf`3qvsQ zAuQ9n01JVX^OgN^sbWrffeM1n7#g@)GGx=iaX=H?o3CX4R*nR}eB};2?w|=!S}0$X z`N{x2mIO@)$(rK9cOgnyW6xLqgy$T*R3z3>N&)4DGGFOVt^j7md2Gz!`O5C!P(1p& z`O12m9ta`Mgq$p2Sq&ZJ3i(w$?qH4z5l>9F6oFCZEA=)V9JiE=iiydVugn278v3Er zg>EKfzEWyKcX|L!bhGS4i1W=?sx}f6{`txPo`UdkOXU?ZU&*uSq7ROw`AXl01RF(w zHTz=_C1k#mnOq4$DRt_g`O2o9WVHW8>BmSn1R_NA?r#~KIwKR#HhXjk`N}e=l}f(S zVbjB51L5)sHZn2Zp@%nLxwIoOXZ>=Amytp9mFDDHXq;N}l{1EdOZiGg65K)a6_G~l z15A~#bni`eiw8l+pv(SC`O3bWul#5`30Kd5@`=7GhzGAn8HI9Mo*`ek^a-@b^Pebn zqJojH6xyt3r^oZ3xO52l$_6~*;0;Z|Kckq`@*4~?U&*m4;tYnbk*`z&SPWuw!D@WJ z(!U>i$#0S*brbo@6Kt9`N4fKr?T=Ad^jdGd8%yRZT{d`+<)jZ5imrU+THvaDWilRU z@I)dfiaAaoCMKD$v?bR7&woO+a;;SJl^uUg%m#Y@t3`^uAFR#>@AN-C{|S7DN^ich z^w*;M{ll;huO=gzuaqQ*7q}sGzc*j`>{oIYLX6z_p|AUYfMV)865ysl<}0~2C7c-S zD3NNuat*7Kn9LQl>VBE81d>C;v?qmW$_#J5vg6T2?Em#&C`{>*DS5uqlWiG^ zUgS*3$?}y;p@YmEO7f^hYr<+47YKd;OxKby}49N~;as z=>aeif>~N{fjfA=Un%)HG2x%DgoSS2!6AA=<||b;UG%|`G+*g@B*Dg=@44B~Mz-5E zWxf(lu7seJ*cdclIRNOMRf0yPTY+u}q%94b0y-lTVk|r{&cH~j->*FNCmONI?*|*$ z*D^L7HV`iN^625sS5E&~V$O>H(aXr7`AT4+_8BIThuxPyFM=aIx{3py)vawF-^n3mjKw!tM z)f7!DM-SKYpQWkj&?qI1gkI3W_59}p>nS366rkrn-yy|3k6y~nMd$g?Jev|D5*>m5 zOlLEBo|1~_&wu7X3toxf`A@)_o#CT|@AIFT$rX|DnPv@i1!pfO(r5K5DJZ&Tn@>c- z^PeatCh1behx72Idj7L_G=!m99$HcJ#LfJ0?Sxb8(nO{H{3ol$vCLlu_55c?autw= zuYx%1^Pji`tFk^LW5NSMExQWp`Om`SD!}9Z#^SUkocsCD-4F6ej0Zn_%R+{K zPpIcVbCRne2L{RUeg5-0sDJ^=E-~Mu1)l#znY};M^Pl~QvA)B@p~M)W_UAv}B=B@qhSp$&w`}WgWE^vIBv+ z;mC(Ymla)FG`DEZrI*bPulZ;IrS{*W8^!bIuihZnlly&F@`;{R$Ab}+kq01;glZXY z{t)f){3lACPB8ZS>TF#=PKaUAjZ4KaHJsD>{O9YwvEnlnyB$*{T5`Xy#HI-JFf|ai zrTF|OfW_=dS2=xP?Dyr`ps5dp@#u*c*)%;sxb9D=Z1bJOoi(@vFOmtl-xsjK1KqS- z1P1qh-={y2(>9cx{s-!R*HEx)Aou%vFiMg{1U&x<(aN<_-S6AIH4zH*{#Qx9-0y3* z!8`p=&wm2np%T-C>wRxK9uVE{GG4|39bS2!-0y2l4li&+;KRG$R}EZVjv@4IdCk}T z|AAuaIuhV^0=eH;W>dn+VH_n=-S7J*tHUmnSB#7p;AcKdlgj#{CJMHguXlRREe$49m1GKq9Rs!)7!a^nGvDulhNl zvESEf)4|gNA)o==yWdywJvkEm_WM40#n4_21LccyzppB}7I^+svj&P-_WRBTpqGlo zK7>+0IfC5p3ny0qVRj=Mqs;|A`+f87qj>an_xtE=KfVr$&UYq-Wcz(@-YXfw^Pj(W zk4X_vOt%yhlicqcKutK|gCpsFUl@AuOc4+M@ON%Dw2|#rLhkp~Cs#sHN^A_e z-!}&6o>hWIrCR|69@_6KwJD%8GT{Lho)~9fB-Q=Cm$%S}O}^ikXVb%B0~6Lk9zDGK zeNVI`=BzA$yXUMy_xm!FYoT#!-S7MEOGCh={l4Bz%czvr53=7E+91-1Yk(>D`<%q( zR_Xwso2i#%9W_nnE0gRZKJF4>Ox4 z<^LKIqXp~G4wKld2=>i3T&>!dh1a~;p|wYgaO5*?6mEDv@ACOq6&dX_&)9kPFp!glPnY{(*#P0?bbIR75b zcaD*j=Lxje2(4t3gLaib%O!Tqd{*E^-rSJO(la0++!Px)1oA@wDW2FF54MTIwn>OU z+-c#+bMfGo7r80oBV6#sMpUA4(FXEpI7K`-kFIKXo-nhHsz)j3&k4Na8Sfq;B=1li zFHhiwPdD)9iKZ0SfR~3#6K@CA+wsOT-Z&wo!ocekh5aVpju#-WDMo=Dcs(Ax8wSTa zf$<&}LfQzANaOx25qPa<81~&Dn$qkAyn0lku^3_T;AjWT!rzIES1g3kdl(%4dZpxi z18<}#rElthmy1fXKkuV@dw)(~yf>alqo!E)VLD!wklbhD-6xvTIt6&$9=!2`1p_2P^IQc7$hZzo}-*`BM@zx0;9fo}&f!Ax|%@9p#5e~dAfv5cCk5oCR zeUlh3D1^|fbT)>ReeI&K@l3FEtI^#VggybHs;}r_L zyt53vrJ^a_UIDxuRGN6Zsow6NXE5F*A*9T}>lTGQCf@F6**;1xf!FE5yKQj1e8zi0 z2x&F&%5Y^gv*G=tBC+}cd@LN^(0f$m*0uPI4y9ZgfE7419p(@R(3#H@@pCfc%@ugv z2424?%st!a&qGBiZ6E+H8uMDeaX2ufu~k zWpKPR8Lw6dDKzl11zz1bhJ8~+Q`#T`UKuJ)`?gTM-M+IJFGC2aGw|9(VW`l++wu%| z1?`6cFB6p}-aNX>j&}~@-6Mpw6JC0NMZ|-7bR}*qf=Df%%@a*&`ww{SsARk}T*dKa z2i4p03K?&l5YlJhb&A5OA_H&7)2sz;cml5!l_uT|gX0x3-s3_Dy+_lD*ChfkXR3jB zgJ{|*@B*j=o{DFq9WYD0p2~Q|LP)8B*DEEPc=T)vdYQJeVc&Wa@B36KI@5x8F5|uN zTNctxceZX--rUQ6_v10@%JC9 z9F)Hc7;lmg(re&#i^9_L4f}R)Wc%n#VBi&^Qp0OXigzL7y�-gmnAL1YRI);N2#g zb_l#a6Yq$mco#9=Tp^^y!0Q)<>rK2vMJavT5Br)?soD2)svNXGOBnCN4ctkMgqQG_ zda1;8!@h?_Q@X(cc=@Pg|4jJH6bI68JY39pwL(azftM}t228vuqG_4H>-FGmp?dpx zxP zyt!d6V?HVbwHcT*>1yn=pl)VUZI77ec`M;LY(O_%*{x>&x3M=wC9&3-PIqkMl0u_EBB&9w9m#GWvc@V*Pk2>lPHc^^k6(RR6b&!opb&AoBffPjG+K3D8_rnxIPs zs4d^Wf$<&^Lb8tmUP$2;3cNlOZ>eb7F7Ub)9wM3GdpFhF`Ti#3O%g)F241%)Y`)O2 zZ};PDU!TCMLnYgnz`Jd5yc-$s1tElf4a>2wOyK2TWZ>N{1rWBtU#GxR{duJWW?4V3VZ3{U5c(BGXMZ72;MHGZ*f&o!%@=qT zsAT(S783D~>h1R3!g%9^kZ!}iPEnXU+rZm_y;j)A-|it_L?!T)eK*l1gZfJY<2^2f zWRBJOD-n3zCf*IA>3U&bhrrYJ6Gu9be*UtS@rs3zLIbZ?6xPi#>>DXcdj(z@DouZ( zz2-ssa~If&BmmrPWec;g4hyOr_Eg%J96T*qGm0aq%@9pHg?#~3nt0o&-fmxn@q$7~se#unC7XELe#u=iAn?|E@Rkma zcN^n9B!n~@c!dJ5qSUZ&sc4#qX&L@ff=biA-BfS4FUok6gb@05btgaV7KPd0Fz|N& zg6*picmoQLq9DhY+XlzGo$+1}LITI?cx3{w!^FEyH0AsGkT0T=?MvVtIyhbvY4&Jb zfqc=#D@clW2jkTWA?uC)%ocdZQH%En&$2c`N$Vh$#}yQ-pIl6?qGM-x!h0Ti$`*#0? z?c;|w*SuJVO15tVt`hU#;CS~l-U~uVy@6LI@bVWMc(;kBp=`)U{)b8v@6f^VzR!4b zg^&)y8>Q^)7lpkh-l3wjRN!?fynU2?4^zFpKObPc4}Z*^)NkO`3(1Y;hJ6on&nbFeW(J9gD;gPNp1CebbKC3x! zME9;g9R2pL*N%Q|*PBQ0+V$4auSRxHkH+Y|1ks7nZw!w-JL1#GTO-~>%YW_KdUW@$ z?xP2A#h=jn#mFwmZ>N@d5Dc09k=-MEnkUz2AO>+%M9(Gs?1VqnUbFL zj+NB(>`GZ?;DA(R=2Od*^sGu*CcW1wHJQCB%gi}2RhcE!G9}&{Q$W#$E; zXSVRq?#Ndo{sjN*ho6&wehN?C2Cv={c@zFwK`kc>pZ{0nGxlT}75(se8YS=Hs?9Vy zZf&EM`9kJEbWewM?l$TT_FXJf_kRK0{nQ_)r@PBb!j(8Kvr$P5{vjiL@<@M}4nEJwV0ttY|eLTJ>qIxVi_A z(V@DKjcCccop${*&cX_PdgRl1aMuqQx)B%isy!YY$GDq;a1Ga8*`;n+3`gFEEK-5i z_v)@+C=d^pQqyRx86ffCD!y)3x4I4&MT=jKJiF_)F|)e9cs8wO#OC5|60viC-0Zk- zxPv~^zi_0uyWytS12w0Fn=&Rwr~qI9%%xhWJ)xGgoz=stiUcekd>(K+kBb&})18yy zreG!-?c5t5K4}!K#rGNU;9U>Uc`Wy8IyiLRD2n+#HrQ=Mt)P>aURh*I!}BHb22=g} z4E=e$hL1{#)d!i?Glf8=En4sf&X`rYyT5q-%%-P_DK5biiBz}=w;#v9&$@Q1KARqS z1-Ao-BUcFfLu7w++z*wkq77UU`E+Kqcs-tzjim$(_7M9+-NTPA*6)UqS&Eo>)$!qTz=2Xzmv$hW3P;MzYT zV;i0?rB1z~=*pt2issYZp|1Nwjq&m*_w4)kElP7?H&iI$JgyCpA*-T{U)Cisz_TGpU(qM=Q9(HMEq+I ztreel-Am`OoJu-4eBLOEs|23`)M|WYku8JsSw@lX*UV=TiHf#_iO*=k7@Q%3bS2^k ze|6kVEK@w^S?(DIzp_)bK`^2lyy-h!95+1^Jt@zY*r4~D_Q(ieWMV1GUc(9(Yb5Z`3nDRPOp7PGVh4e5f zpR$59a#8*o;B?A^XvCC%xkj{Bl(&7C&STk2>EKWv5yk5Th$s31|<}gh?d_+z0dgV(pu@`H^e3=GH)D^ zWS$``(Z+8%R^P%RBOHBzR%_6rPA40S7%Vx|m~DlE4$%dVL8M}mMz&n2c51DZA5e7; z%CXv&3)Q>6kVIc76w`>lkxFRP7Cd)1U2mrkBM+weee|he`BW_SRY5#zOTlC`?3m$%X2CG-9I9 zBMD8jL`kyH+-Ms*CWMG)yx_}r+XDeFC4zB8+kIXsGq{h zH#+xq6d)bqM11a3o<<$sMjhzu0Q=+I4&`Cj0+=Yk?hU5)K6H}iO2etQFZXWsds{RGX&g1=-9?DIi0G*-R^k)>!3=W)#k9a~R^xpX*)qs{ zzrb|-dyPTRvW2FGW{Lk0zDc@(`Kzf*bPvN}X#rJD~)AlK!*@g!HwwOcP^0bVMp@%@c{$@hT_sq;ORN|^7)81+v|eAiObXsiSv@nADwx2Jqx zSVwx8e9yqQBgCLFbG#2Yo$qmI#C(q;2^!z+O>`dXgOd6Z;a*YPDfsS2t;Y9>WXm9Y z^LlRs^SyxNM4xUYzMEpD#B4~7kebg0B??B}Rwvgo}|6oaB9q@_)3CAgH; zY=R>5_Kzi*=L<`;Wk4o8(3c?JVOp3$c7$mso5eyShm(^}~^W(MAh3ksB># zFwU8HkBCDY=%)9NP$L`_`4RT(WFT8dI%8-N^6K@6J$QM+~_6QoWFWklM z@1$&JKuMLdtxu$Ek5I~nQ&-CNh&LO1#M5ZKx6yhq(ZxnTAturI7#gdhu!Yrimmk`gFT+nO@yxf-g{=J|C4}x>OMetGSy{ulzz= zFV<g+ljO4zUacR96y@l#hnvd$JX*3oBIKD^Z>-Ka$x_BAc zZ_Y=vN=YNveDoK<>GM%88nN4Ckpyi%`t=$*k9F{}!%6n;7sc5Jq84{MqgEsJWU|FF zAL;AGXPD0gBr5tezw{g1L?>w>Aow}e>3oK34L*O4dLKTkv{pKwU2KKo^Y%YWKF=4% zXknn1ae4h;4-Hb|b3HYU#&Q6T^*>*?r+ltiO7@$4-gGHxwjLOh*|T8+>7WJ?Nsb~2xLlBnp@^nz>vl^A@EBS|`+g-B1d z@%cww>%(V-)=KBIldVvEKGiGvyiORS@%a#yi1j~ji>5R_d#GtNmJM*M|M|K-<@3=B z(!=EQ=W|fz=5rbp*79(*ukW51zw1+4p1euX9L;$UcY!tFHalGOdx| zoM-Y5HdImm(w`*dYlXcU<-ej5rhI^QJX70``H-4MW0}B?2LmkAMfs5TTc2J+dYF`N zyo5Az4dIIcr&E3p8ZqTJk_3(N5Ze=LgOWPsBSdkBpu7>a8s+y>rQd#xnIAmIjK9ho zys=J@3VN=^rLOf_OEWve5iMxw%?}22XBad;$iq&P8vRH&-h()H1S3Bv)f%xQh}w2G zUpYeOyV4Q9DRkEy;ipu>OS(^JE3_%4{NP<`8jS^jjr*DSI@gkJ$oawJi%AdD5gsie zja*B*Fcc<7Q2D{_XvB`NiX>=X=^PBb)ROLhH9u%YH^9{r`N0FcBOL1&u2zp)&DADS zZ&~vL{rj9vV*V##(UvX|U(UsuH@@VZtodN@J%+c(mt534ZdK5TQ*V4J(Hd#-Wj&j! z+^TY$bgQupsqgn*LM0quMDL`u-@A^aM`Hsx;5~E0cdm)uD-(s#!iSK)8 zwu;930TK_k^VGGce6J`YJxsor&P17;?=&c^^IeQa%=d{TLF2odS5dJ>8n@al02@Rx z|8P8BkcL`~@0nyvGQMA6zSoePXiL7}dlAmOe76zbiSYWb^x+{h+YU_gpk$zRw~F8sEK~)yC>+Uex%0NffsRQH;ARQLFKN1=*5}?-!Zx zJ4sHorAqL<3TIxvdyMg&#T{kmI~ywyjqeVK^zxmrHPZNQW-Arn_r5Oqo+FIamI=2| z3G*#>!&2h=aoSgk#(DvQ=dgH_X;1l%T|s)7eBTyEnR|R64TW{S%h8DWo=FlkzWaG6 zHCBfX()oT{6n6{0%TTNFy^L&0#`k9C`-dba+Ol5o-GDPM-`RQk_&$m|%FcHtR>B(J zT@dNzJ5Ot*@!iN)D!zZZRr0-97_0I99V%hI#djwu@%;kr(BkP4fW(8nbh5|e`+<3+ zhspPS=domSd{2bJI^VTu#C%^t5;VR8tXHgx(-&v{-oBEW#WD}V0WUN_t;Y9_bWJk8 zyO{4sNlvt-SMYro&b)kw^T78$Djz(V6|(akK)$H)-2;(czH_xk8sGJ7rQ&--x8%D< z7_0I9BPwCO#TVHr@%_dMvNhHXka)14PWG7ZUzCy_Cf^TFW637pMNnAhdmS1v-^)mX z#&Eruf%jrB;DEKZxt;YB5bWJk8Ut+$WB{|WSoW1B!@IN^7@?B4SV*yVP z$p2X(JKqBum6ZQOq?hjyc0iOAvyPwfm z7eJ8zv&=n>=TBcsdYF7~oQg7cJii!lI^Xx85%YZ`NznKXk&<{)fp@u_@jXHm*9pGE zsMYwspDL5_y@mOH?HV+Vwv-6Ie~mLQ-yOtvn&SH+Mz-_auTcrU2O!eRcTT-8-&Jg- z;`{BFCEs_GlExPNb5z27i+fp9;`?*j9gW610TK^pv&=o^`;|GQhspPrLekc?-?IR4 zI^PeW5%YaJNznMt<1O4+DLP0W--n3ecENWcYBjzep~_@@|Bm^7zY{zQt+W4+uD;3|{w@AJpBqa^Lw^9l7EuK9{ ziSG=yHP!);cre5=_muBHT|#=8d~Z9Ov~}@)E#P#%A4enR`+kz3@m~o364Jxud&gO%t&8t9 zfYbSY0gagNM@WLkcgYeukA=}eI^UB;ap+(a)#=`%%+qOWxjeDEP-BKfYUu@8JQ4hzIXrWINy88kG=9FaGfI zJ)kwx;&};*o%^K^c|r31I@B`xPA4Up?=aV;#P=j>8jZC9Bpxi`>-LoIeP@v#Cf_3` zleR9te+W38?+^1O-!IP;t<~K$RSW4nmcNz`4&UDp#m$265Nb8PKcdQHe80+kA5Bf8 zEfs?AVL0=~^KOIhA270=?=I+~hA#LHqq&#weyx$lcbKhINzog1!e0MY76RBymrA_dC0M5L8XP%;u?_V;qo$pTQqVZjd z=3c)0v_=}=g>0qbd-AiA?|;tcKG66+hLm8wE4VHtzUNX?yaE6qc>aa2+f%+znofF{ ze28EC}u{G+gFt@v)fiq2!Xx6;Ak`+89v$U!k)0f1VK?@?q+GQMA9 zzKcjsw53PzJqBl9z6*)(kpYH?2cKbNJKr79MdP~y&Aoj0YK=6$^Vv$p_w;8Z-@_SF z-#NZ{;X5LV^9A3TsMYvBoNP(P_g3cnVv-YW$60Ne`3nc_))buJQdT;B>wxp%L?a zI7!g>?kuJASayUC4&Of&#p?y%12943`z*308Q-rn-`A3yXiI%29SUaS%*%J5!S^SO zZ0EZTnreKvqPdsvF0GNqcZjW2R zWQz~q>h}mBujCMn*))vVc<@-ZJk|~b5mfjW2k9P7w97#|0JNe~1NeX{)$0qf+{NGA zaO6Wxey1bu1kH zKvVXVqJhPpuh0zkl*7$(?oY=6eBlyY_nU!)adfR_+>sXJ-o#}vj#~R0*F@GE#<|}& zWgSn=SmS#5Vm;+}%0vT;eWb78YdsnXzNooo(gjf6&;N!Alc=@7NqldrVUqrQ4}Q)y z6wOFK9NpQQ7P+M#IY%^O*J1MXpEwOS-Ij@{7hdyR56(oyi3blP*oNmrcqNzdeg_rL zHk=j+fv33_qgk{?J`3EskBVpU;0aViKk|)Ul#e3SpMg<^%`xF z&!!e)MWq&bzvE5W3(Fus7sZ4pzg)jVEs0r~ERVovV z{&C`0ZWzJ1>FNBv1A(lg>LCV(+{(X>1W%~s-y1#{Vm6#biqPYA{L7Y2E&aGa1sePy z^2t-^!h#w!RcnL|1?m#{W0914s7ufY@2ul{v$s>M!)?0l7XfCny2c--7n2Si(;A(C z!E;y}rl@NM!=Z*}azp&i@Nx9ZziqdsA>{vyUj?^V_LLLtU3~MeL(9I(gB_Ybpub9K z(S`oX$L|lHOCZ4ycyl%;f0naLvmCDmOrOlX#4qie3afX{hWU@;iVa1|6#om~$D%>> zTs$~}x@)SkXdNt~AG{Xk+=DtI9_C!RNuS?0?8n*_lXl1j({KQzqaA-eOz16tUbhZ4 zn#=xzD*gRQ&hB~SP0=GhoCV#+D_i)t^_p7BMmY3`n+pDO22nX_DrCYW@oLBoC#Y-q z_wkxq>a}Z}Rwt@f{Hu0&F5kD+1l5Xviw^Ik^lf#rYQ?`G*VNLhwStx_$LQ+ismA>C zZ%r-qt2UZPOCu(#Rvmj$|F(pDTb-g>$)8#)G+V(rggA7#dO>G1|1qDJAz~Jyvm>v@ zgYgRh6R8=SkFX8`q$K{Vv| zb&}6Izqj77dsxi}iSi}Q{5D5zJYPyb1fSbbu<7VPAiZYf=8Pxl1A44scY4kK4ZG87 z&LM#;;qt3CHHVvym|jl3HfbsyCjXzDLJ_Q9avDD5Eq z!_kcEr*rRS#(RD23rD)7frVsWraGslv$2*&Szb6g`r5Mtfj->J5DzyD#K{wKYgTVS z>MKfxaWr2woEGRS1kDuE)vt8ki){r_=dj=bY>3IOlIu{WRzNhje}# z&WTUrSNb!ZHp3s8;QTPy!RycFP*+SFTQ)N?b1XUvB==3%bZ`B@1 zom56LDyWmH&^2{<)$g3Kp(46p2Z14W9;CqE7e}X!oz^fgye8BzP*`)=%%+)RuPEv$ zM4NDQ&e(kNr4Zh~i`F0{9N8U?eA;(9rWkcu3te_6Up5V8SLjzAgR8bzql;kY40IPv z*huJof5hufjrAGqDV-M0xaSnW!X}W8&`1Uq68+)gb)Do3B{j#9T^Kb~3%lS3s3r1C zU}32fFTj2TfS~yn95!djC*18+`VXGWnOSQ;!AEpk1LiWc>rO1t`+oQzxEvr5yMBzytcLCA%y83$Lm27Cu_uy0 z1EZ*8IEesbY1^Y|!-i2*gX5jp*9id#Z`JQ?|0U7D>!5?^)=BYWZ>j~XWeoZY}j6dOS7I#Te7b6`Wjq9Kh1;fqNd?#;?hdqyH*cST{|ml@>uRPI+{Krlj*{)8@gLz$d}sUK_?Xx)DL8`h zDdHF0&ds?xk&m!c3^`U8`f-0ruZ?Lhl0DNrUf->F#6Fqx4^j-H(O-J9NVVI-4 z;@cli)N?O=3Hzz|u0%1L`JpVn5yhVPK`{e?;|eibAbKntTS!qOJQJTv`XYOwV6nk&%%*UijMzh(ea-@8sf9JcAiYv zzc}rWff3Up%l3eYh)XYxoZLi_XUO0qqfsvh0lw80&_#t=sTe!xqnqWI2FyX^1p5(DLuCj zi$@a9yV2`9r$?U6TKgc|Q`{Sl&h10|M*@hYb3O{umDA8CO~sfKFqHhq(c*boQjXzSHpU>eyIp| zobsdhCfs`hLGcRWh#y0=-+~3vwPr$i*B`=>Bcjw~&;^H(k7+ZH`Ua?^XggM05R{C*uF4fU&qT=hPE!DM_QgbRa&`os|u9q0pTyjewg*Z>P0@ zIq(QS-pk{SR{L1hpI?0tQiIxzz7x!&9(B_Pp_(blR5Vr~b)inAWD2@mJMi;%)JeyZ{*!~*Bm(^ye zIYI5mG>tx<+h2|LShr8ZNqF5$HHSviCim24?mU~0HOGe|Tf))lF#o06j{)%oMoc_- zJ1%j=tz~V)(W2~dWGdFl2#-5o7pEB?qy5g0WPjvGGg@dMgU=8-b#6EU2S#=oc}4LV zqW%P_lyYKQPuqXnh*1~dADruZ9}yW}?uZD#E?)B@6uT0FBWHvo^E2bYd(I?_GO7uy zY4o`aS+JN7=V!-*ub_HjC#GcMkNj`Rn#r@~)$GltWo`;DDmp8i_UxL;CB=b2?T(3^ zOV(VUvrl@~-JR^E6y3G_j;@ziOv`%m;=Qt-EIP1w-PW23SxX8l4rcImtTJ?Jp8uO9Rr{IH2{}YE!`^gGt|0ujD zm~k1c+%ld+6G|Y~2g()rP%~1~Z&7d^0jE8;W(wo}WyzWw2>0Ec z?@GSWp9N#o^@n?}FZRD4dS9~F^vFxokAF7n$*J6lU7{1yN&AsvJWy9g!=s8KU9%=X zTb(&9oH>2+OV#_Z4O^?8eR^uZ&>Qp`?==iOq#6D=|K@ArT_yQ^foTjlXsc|2PlkCaE%?ouUB9^X7e$af#VkmVQ3c4y1u$Ff`< zkCf#rWcgR}*dmWtxsJEW^9SVd7p~)x^89IeJV_pvoG(t7{UORhCH)as^e4`ob5Z*L zpZ`PBRGQc5ud#C~ACKby#a0=8JW!rvdCq@W$?+eO5B|g4z<;zzr$4Mi`A^9mBhRtO z;y)xi{D<_A|FY!qSSjcy^8CjV;Li}$i+^9qe^;UWNVIue)`w*KW8`tHJdTscW99KU zc^of~x8Qmbahp7n-Sm(2!j5Br_Fl-=Bjox1IA&}As9)$jM;;HCN0LF;9V*XBS88{I z>|bRsmC>K_N!5QOhyD%`hd@vsDchkx)qluc_^(}FxJ=5wN*)7+qCS3+I0i!U{2Xx% z$^Ooh)n)QHnmPr4M~g!sAmx`xxw)zwe9)ir2Q0ezPx(U_XN96j{x2^u%KT0)MdTpSuH_cQ)ovUNtSyDd51i^}k4%=|vs=@`1HzR|(Ie^Akl?4K! zBj{aL-oHL?ky#Q_r*6D=B;L)?;%3#zISvo0E_cfCY0*viSBDP+kcWT7dz?tA$3Oa` za>FiB90(A`IrvwP`g*cY{)>;m2b7PZ;o$k}>*UHn{BN>f)%j24dEaRvagpj*b)1JG zk%KXjz{8d)_vZk$kN%$1VNgQJfcnnwMX(8bV4)h6^gP3og668fOP&`0%;X!zhSPgGav zM||%f##_BA4N-46eV zB3)qn^)mh}B2#_#X`UJs^s7R}zdBdPkm_Gmpw8!^fciV}$MQq!^uap{)kpo31ZJKXtB-g=*S!>od=#Pe3&k z$IOtDV2IDk3-S4={=gWcLY{m%!qq>Syqt3UC7vexN5Ls6_ep)~WkEg8Id+L-<|Aqe zB$tn?-9cbyltTIub zW2>(c^@rQ)>qULYR^Ke@$J*-KM18KUzFpLxXshoO^?9!Pw1C(v!y!PQ)nD&@Q`JX4 zVt5*VG-By5QTV!O-zJZ4uU0#^zn8n5iR=FFLrOiceAVhj)%kfREnc=Pz@N|1KG$$D z%bCBbyk>soiq#92RW6=iwV-;z^75MU>iHE5RxDmtUhP0FTwcB$?J8?ds=*7B7aM1* zjI-+Us~0;hD~&owUfrt7u7Pse?`?-*DiE~Z~yjj0^QE+jSGJJN>j!b?u58cui=@g34vJ)#Xz}MjQ%V zT3KCFyI|SHwdK{KUx7AVyJ?~jXn zorrqHr|E%rKS&Rp^}bWqgR;l|k{&qx&rVq%%I^L!J#eB{*7I3<;6ar6{muECC|@Rz zYvr+39)BT^&&%WM^4KSjyXA3IpOAB`Jf1F(m&oH%dAva$@0Q1h_kZI~^yB}HJJApSH||6~{BMuD zTLaU|mtlE)E*5uKc`cibbt{$)f$s;3u~Mpb7YEKyJHNc<+}i4DETl@RS1!WJBoOwN z;oUD6Xr*&1s>>HFrWeB0Qt8YEt7?jAv55N`=zP}7#kI@I!@NE(3IracG7?@SmOgYt z8%k!^V7*vdHGRdBl|_M9xa9g(HRa3aU}aUb>b%Nj<@71=0oBZ@Ty)L3E3xVd1ddCe zjwL?UnONPp&ABLjX8D5Ep5j1B`plIJ7SF6)SiPY7dT8{+bY7yBU_HCCdO596SvZo3 z*U~SkSq44Nt6jkzH)p}ZW#xgbRC?}$sv0cz&RvO)M1Ju$mCana@|xNz)fin|js2=# zs*y{~n^RuBymG~Y znsP-(VEM2)%T}QaE?uy!wmh(Um{mw1HcW!z+8XeV?;ceNn;QsR7??jF+1APx<#m-c zfs1i=b$Jb~^%qoMy((}C&MAE=U$Htcn?A2xIDZNHArP2{vt^4`u2{|Wfj}9~DJfe} zRW+Y8n!rL)Qo9QJi`8}julbz6VA1l$%T@%g!>8q|uAa}Zfm;Ie7l}_V2IenWzH%`# zl+Ob!8lAB`a7@~KtbeZwtW29PHSJEDU%P_KPDx*|aAkE(;OulbH|0Tr^V5Z_x#>%) zsw-F2ED2nmz65z1zKcj-avg>NJ~gFN;y|tMN=Lf3s0u9oES>U`1

    rR{E-%>Sg6C z0)eOK(~3pQs{(JNuU6s$ed&~~O|7n6e04c>*ky|sUPf{$^$Z0nuc@4`bO~Uin$Iyj zYRcy?E+>e<8C-@$Y*8%=tHdZPUlll;%PYzkRI%;Cr6KdEG?F1S&d2#Fd@hp$STmW= zS1qb2r(vc9;#j$oQo6vIzV+p+<}aw3Pif2i8_KI!LT-U*SH5Bu#?uYufpg?J65Z8I z>-;4cgvhvSD(0_1(v3?3g`!R6a^#PWg@M2(QC_onzUtpV;Ca0a?n2jpD9e`DfZJu2 zD@f?)qHOiDl~;4BHh&R5oe~J#noj+|#Yku7FIoxO+MF`@Ba$Rs|FBVpM2U6_7NftB z<74Orp4RFGF&OpNpv`KTWueUrPMgIXKvR-xCm9Iuz{eSGe z34C2uwFkVxetAcqR;QY_Fj9RbBDwte17kJkNazL)>?b* zwdb|>UVD<&V_PF?v=B!;w^p>ZzIM=F@@S}CF-mJ6;sApK=A{ED0Pwv0k9Y=z_-Q;3 zEG6-RAV&PgvQYJprH-%lnoPMZBBM0RVvy&`T%rITNhHO8uX5BqycuMaF?lQ>fV7$EcMRr6g)a5 zds4Wmlwi+8D!1-t@o%Zs-w_S}W35;`OZ-`zM4Gzr-F}}g@ zNF7SUCA1~9cCvZ=3;wSwuh~#uxoDxbqUIsYf7O3!%VqoRY=qm1aaVH(+USmLst?`X z(%aS2DWkRm?*D)L@1>VI$?o2mFTGT^-v7ntKr~t$PhhNp>DAY)OzE!IbA}Suby70F zPy8R310~T?8K*M-9y_ASxmYOr|F{UhAEEa&2WS(KvWlo}AcEWbi79s<2%-4fv7J)! z4|2PIaF|#r{_o=ml;YQVBzr{uUYr_H{5`C9Qf>x-3?=`on4)ofnE&w+<>%rgisEm$ zR@L{vj>x~W((qrW_)~87GVyUXX#O7NzuHM1fQtWD>NJ1!dL^Gt&qFg%^peqPtVu<`)*V6Zy%9A^AgQpbf@BA)cBn1eu~rYwFlCDE&c9Zdx5%UsAWGyrxDxHw_Fi%mCVUVWQPVLSyX}T^O0e&?o+u!nL!fbO+3Xn2Ve^ zJ=t$pZF~1FFbFz;t;F^;k)cfV`3OBdl0DAEjLSriIYy0*X+Ifszg?#@`FDAVA1;scrm7QANhS?S;p!LwmJrad)@ zmo(Tc=oTN9HIxgT{e8`_L6QvxIZnG<*BvB zr>VUWrpDc(5!9GQK12u?y;mL;QVc2fTj6dKPjgEX?2fUXZdeRq$Xi9UmaaJ3$3RoT zd1g)s8YhK~gVw(VkStubVFHD&Mue$Ls6(O6GZNU2c`pc<9+L6(4GNL%o7-C2I=X}_ zi&=3}LD8>B%A+phRq&rfezbY@Q7NFgrL_^$R!t;7|0ezX0lMvPV38?<`L4fXr?mBu z$(<*u{Zc}LleDtl=y!p^ST-TdVf$bO`<~xzXH!!rY!$GLNH+?8xeWe4S0iu0Ss=N0 zcc8YNqQUb-jRKoM-LAp&$TK$`>hnH>HqeUyG_{6#8oda9s!O3R?tWD90m`wSmX1Zs zmd1EX24aE~(5y@HufktjP#5=Bl_1g!)mVPGFnH-WTOeW<^_2xA_(1$sc8Ysx;PB){%wr10Yx{CGXvFh6LO=VTF zn)1r3(pY6hZC$K?m!XX9*5tfFs2cNeV;(ArK#7q5OvCb5dKWBNbn+>Sg;iKMUsiA0i8ZgO zw|_qWhoQc(Nz6YJHC(aI>+5Zrzij{=E3sjlcCifX#Ifp2h#kYilH4zbS{e;H1LzKV z`g>#j!kKg<+yibJR?FJ3r44_y@e(`8`q4#)sM7&Q(BmM7;4Y#=LZH@qokZAaeeF;a z3El%iDJ7tM6C83M1$)S)=|CWm78hEYn+8&84nU%P zl1qWu(_Bx2Uf{LoxS7yJ_Oxht!@!PhExp(psCI2a%v=_e@A;!OU|Kh%RTg`mdoy;p z+0zQdC^zJuh$v>pN~<>1mDksaBLQ~~MK4^vU`;nxr0i>hf9Kd%FI1H4nBs=37b(gC zrbNTlixuS{Q}V;rOB7{@DFxx`rHXPrQ)Y*G#g}v8hM>xyqVW3NrG34^zL5!w!?i7K zrAXjS!P{k=mJy*0gqxYLoCxJ0+`@zvL|6sFtxPzb2&+N3jS0m>s0HD6CaesXb42do zaLdAFy+y*lljFMv=mKHi#b`Cqg~Gm@(R!eZgnbXA4M3L)`(8%dfu1bvLyY!>YcRmF zzrg73a7`1?`y}d2+h>Jqnt^|j@r!_O1Aaf_gTVWGg#9JPuOfHgUuJxW+&hH*6~=D^ z-VFQ!#t#8sChP|peE{ew!hVR+!$21x*$*@R6yZqsBa9y*90`Av@s|ll${%C=DB+8R z{Z+=_Abg3i4>NuYcn4zpHO6t_P7SIhl5K}KV{NXxw+5p&>{mby_+juuhaz1=&c>yO#>0p)61 zFMIw8J0DJPHvW$?!&B+PDLa!CL2z3k8nSa{#fFttRh1&xM0&$nxuvdL1lvlblVod` z>MRhz9$>7yhTQ8=%bm(<#n|;2FepW}zEhxdqa9SLkJOv9sj_Or>R5GMjR?&n-Ks)g zx>$q;C0f0?s-jHT7n4$QO4pRs2>S}shz|J^_LX!xKIBe-UO}L>5M$r6h>$fU8_Fun zh5amf3=*^QkY+sr-$5CyqPP|X6mmZdZ&6F?SvSz!JwRJL0?~j}uZ)J=8^DxpIkdDp z%*OMyPd*8rkozqDy4J7pH$CCc1VlOgQiKVvH{qW1BEa0(`UMM@m58YQk>D&$o5Gdd zyM%o~umudHcGq;YwF~>B!8(b%BB)jOld$K%b&s#8f+6*Vv{=pA1q#+S3Bz8jLA>cedc(4Es|| z42I>#o~j<28VUQ;>^;Qf)>ayY+847bLRUcBfNJIHHHP5!XP7@?WG@u^ol(V(T0GwhQ|*rcMm6qB^TvEY#`cGRM%# zgzIWI-)WW+OO4hlC-;p8guEHRog^EHjfL@=?q z>??yyAY$y0Wj_&I3B3_sgT+BoBTq_{sz7B+TVvB6VSm#fODMaiWQCEjL$py$Pcs3! z5(MP!GfaSb1OYkqEha!8f`GI<%LFJu5TNnC%>-yX5YQWZhY8ShAfP|^E)xbN0Xq2z z6NV%KTKPF99Fhdm%im+lVMRe)pJ&PuML}d=V9HTNL2SRzlw*p5=)TC5eGWy2H1tbM z8RWtswJbB=!kIVQ{<>7g<5qR{*J3M}u)h(c(g2PMpeT<^%J{#5tcve)En%DpR_mdL z{c3O;6w2t|qCCH(88SsMX&hx2$`MwuI@zVjeFHv2F8TM5z^bb%FrKNY6;U^P5hgTh zK()&K4*012dBjlzBLy|()h@*lBQ1aEMuxq{{jCgz{!Vc1+Av)}J#JM8#$D9Fgk2Y= z(b%}n>Ii|bH-%{k14Xo>5uQY^DKglA&5Ig+h6Q6nQu16 zJDshhf4wRc&ldc?k}Mh+=zg z=65jd3%9^@($>+00cNHepAo5PTPHgCLZ;Y60Y0Dc0O7rz@KeN;kmP9WZEr?{UBE;a zL`+H47BhPxQ!|L#xSOeqm>K~Udo1n6jAs&#AeS&61>V#*utV5OnUVtvjYLjnJWp~6 zdl}VVXlaE-L-pmZ0qh++dl1s?CyF(r88s>u~Q^mi@kGItyOYA zXJe;U=GSwW(QqlIgV+q!(u+3L(FCtIuv3oC6MxF=8#8Ai={nJ6C3PjSvIZ)qC z2-p68W-FzecY2x$*NYq-G*#NlXTPLVro%`OWWSs_5pr}eRdQxnQmOOzsQpUj`B*1I z{>movW1YK^k3(_TKgm=>20QW^p+^~YBGUHwst!AHC%OIyqi%$*3AcaBXe2V5(4R3H zjlkG1?AI903zN2~YE=sCb*AP=Xj7B@b4DjdP9pRTMhhYzB8k6Xbb6$L&|h-YXGfkV z^jD0Y6d48WZvUFmxsk7t>u(q>jI1E^w~Q7=PNjf;$LPYy+vIwT16mx(CD;FCbXlZ^ zT;F7LMFeVD*uQ6#?(_%x2NqQpxrdnli_uk)uaWB?nRyL8!zS!MFo6)l)(t7n*S*-T71~HoI(IqHj9=Pacm{1ikz_kCCc`x`v z?nj5OF&M@lv$L|UMNa5C6U|~ZtLjX4R$QIQVU+7kZWgyhRcG=TWRz>i35;^>n8Ya8j>(L2?I>WBYsVB0 zh-=4GM!9xOW0Y&hbVj*$%wSPmJ7zMC zsvUC~?Nqg6UKTZads>gy8VQvFrEC{weF;3n)E33?Bh&&6dcc;){V@g_synU)hilp8 zS*!Cp!9n){<_w~_?u1xsC25L5`x^XfqH^Po!@ih zz5#;|k+DWDNNy-Chcz(}{N|tFGHC!?DzT&%{3gveF_yxFRda#pj;2VG2xrausRj_hIre6k5fb`rL)<|pWHdR+_SXEUb z+S9KJ{eBGczG<6CzdEE^od|dK=;@9~|9t2a*{8dpmbLV4@9*vr>DMqZ3Su2r_*z8z zwM@znH}9$!>DRHa0-#$&`T<6#ldJ5M(ho9eHgPD@5R>K-mpp_)-DRIhzn&e6h}Z9M z13N5+1FA}ANq>KD$2RP&7wI>$(=u|BHp}#zn6x6ii&k;5nvA7Zk$!VX>84_2gHHHD zk$wx4SNie;7@ST)cuskbw=UQ*SenDjfC z*dT&&Ws!bos1+sTCyMkZW$}dEENJh^n=!}VuxY&rjOww!jFrcN%~(=dUtPCFfg3he zRtk%V6;RXiyI45%tywGr^1Dm~>07!*gy@T5K)FaGXeF6YTuG~_tuCo6T_e&7!wPy; zjmVHJWDW`wgm_exY^W0vLN;w!x1s9H4Pq1_W#y$9zEz4$LMj%BsN?{Ut$>9hM*)jP zu4Gvz@)Tj27%d49J4R6ejU`ALg7OJkQ&V2Msj^OtBZN#x;|ZuOSyf(AQ&X}dQwtKSBY;b zpt2U$u%{%96ZW+*+`~7A`n2*5EGDD$cbTTma*!zY6;RAop6ugzZ>cF?CHC!;RRvjE zUb7kbvu~fOGL@C(t5Je~|GTU?6$)KnQo9a3N^;$n>T)6g6;7;r^Qz4vWAwGC{y-`S z8M6z>lt9MEeuYXpNpE(@__)z|wB$@n&mA(b{Zdb6elJyW|9VZP21+ z0_Rc$HKU3RPHUxcXeN&T3wvFVjE7Xj%Z=EE-_wI|EomvVXlZ(84d_EeW^$~3D3T6t#AhB`&T*vXo1(HP64q5rdHSdY+%abm2le5J5vTO0HV zyt)=lnKQ>)lM$8^aw$lprGmC7(7Jp}bE(=952G*ErrTP~^!b*6CC#SS!p98g?0z~! zCRbB#2}oM`Zs=9`fYCHSec23>)B>wBrhkeu6N_y-u@WJj(I?#iQ6~~>d<>F;zLSGK zMnTtMrJnNhP8F&;vj&Xhh?ANJdOC3^kq$xi;Gh+XwNF?NS(deNBK$Ts5kfU)M&nKb zi8`}!XQ!|pu_lfM+##$-CBw`vVLc{kb7sQ%8rJiaEHVJw`wK)NB*VO*PJ0RKGnU@?T+flfwh@8LM!=+Y^;n%UVGZnjXLd0d zgg6)fcb$OEAyl24eF?C-78Jv6;eDVldIEoL2e#-X0B;icR{-!kN#_qjqT>}f;Y6nq zY1>VVuVj%&8rorphFQ}3Aqwaj1UIv_qI!+6Ua@{iNIO`C^&=}29T)~eHzA{ljt&=i z$R!W+v1ut`N0)V=!l@L3mwk^|ms5?nmpO{57QVg*Tnlw%V9mOhR#4 zA$UX9vT>;W%QEq2!BJ2aO$YP_xEB=xn1oFw3zw5y0rF;HIUxB9xoeTS)2zf&zFn4b z5tnWyf9oLSG%I;SpLMNduV%JR{$33B(_?L216)DTB+XtOYn5fudQcDZ>NWkx;pc$@ zT&AV!G?71UQh_+A|=mwJJ%l z+@p4r&2|Y?9W++uUqMNC@_6q$QPD1HND-ww`I?F&wdhJ}6}3Q9!Arf5wOPrSt?87V z(&0?y5cM2n$0YludgV4(yR|p=#hPec68c9u1+6mcx3AG! zOtB)O86Grhit;R#9vwc;=pfbaN##?-8C{frL{7b*E#va~spNhljVO|t(xxxogu}s1u~N`dJH9%u7eyhiWaATzmdEA64PPG4$Rn~3!cgQwoZ zX_Z_cJ>+~8;}ry`HXW*Mh*s$V=@xQjK-!UZBwA(4sYGorGutAqRXRI(b6xVTTWbwX zYAxi&4_BfZKy&Gur+K|SxK;%zeefRp!7#jLN3OM&Ca_?AOS7t|@%~(^o$?jV1$?BW zR6Fx-U8{o<2?m!L$`wQMCRS^_Q~;Khz+twsqU%?=5YOOkXtgFLGhi4eH?dkLu$#CE zd1H&c4p|=F?WQQ*(nj1CrB6}l?;SQGpnVD}Z3q!U1|8u#?5K@P;#L{Yd*73I>b=kJ zD4uiykR7LBa&A;nJ(>{J4lMN9;wSG(RI$gpZ=mP6B3i-6K~6%ziTlik-B#xCwlXJi zTbYw`8yS}dK63Md+-H%nbqrhBoK#!K94le#SkMj0y%NG6i}A4tsm&qwHi_uXA$HTh zBaEyIc5mf^b3 z!9%*0#%o4#b~|Tw4q3om_rLHr!wREF$3AOgfl;fB8~OZQDhJEFCRHY>@;1ZsfDw|#5fCbDD9cXcogix#tl5$ z1+xGfj|f!8BZA0yB)>2o(U*)z@(be;eaU!4Uu8TZNEweL%@~geW8;xzk;Wr}jPZyN zV>}|n7>@`cFRN0J4`BSnDmND|0+q$p%OB1jpJ2r|YaLZtDC0H5)Q zP;ERSIL>%P1U4QiP#KR1*2W`(wYg{pA)fJwFrV>=P&OVBXpBb+(Z(as$ukr^E{m-e ztA8Ht2}z4L9+6*ZJdyw#j|fg-JR&lcpf(;UHdXnx~SNEwd;qrZjP9ku+YTC5g}REzG0uHnOd7h}Zz0xEB|yottYp^9wPh#c<# zjNH0z?Xzg7nm!8r9Q%G8zUjD3?qoCwYu}|{`721dOC(B7Y#};_+><1F7SMLu6haa6 z51fRxMoQNQj00_4lD-{iUXM!2TJm{42vAs*sL>er z!Q>>YQwd9V>Ul(>=or+kQ1k|-kMW)Nu_l}d>FA~P5nAOa$Nj>ZbQc1FV=2_h%!Ecqh7gOX>`-kS=-825;;?4yLEgfX;DE-GO3l}s-K|n8 zU&}%m{>=4G7Fn?emH(bcR)Q0Iq$n&ECCs!MIOJjzGDQia(i_-ejdmDz40p4PLAvt? z9wCg0=k&&Mw=m;dDH(lZx?7p?ugoZ}#thUJlTd{3e*DIx!x2Xt`cP{lk2bO?{DfWY z{}V?WW(YCTpdskiq6UPlS5O&-9TTLPe$MW(LrA_0rNjLkfvTS)i26DCML$Ph>gVJa z{TzL%pQEqp=Lk~$oTQn4jxg@$B#Z3l2r~T~A*P=r#PoB7P(LR*sGm~+`Z)!lpOY-; z=M(|`oFq^`rzq6V5v2M#f=oY0i0tPG@bzVA&kxPFcZ+|Mac^>YO4evV+%&k^GF zbAb*Ax1F~LW)UpkYZ8*6q5p=m?R4nlOjMd zNdhS*MIpsRkWx$p8O211R7?c;6ceFZF%cZ6n25lNNr6f+5v&yx!A3C=;wdJ=e2R%s zR!jsM#iS6em^>%HV$wVw?Fm_w@dI$;l8Q+Jte6N+p_qv5AAl=1R!oE##UwekVj{$^ zmnK)tP5+BCikdbgy z+8QTi>|?*Wp|6GvIs}|9y^mw>8A@|_*2BsFp)_2SHJrEsxGiWC%DW#!?Z#UGWWya% zLk)7o^>-~aq^*tFX$MsF3OIV&0#;2-qT@Y@B@QghJ2{UlmUVn@$fsm1;}0whn`Zq8xj(#$b!n5MYo!}i8|bm=%y|atJ*!#*m8vC(RIU6%)zX(# zt^7jO(w9^%eU+*uNU2&$Gpd#_R<)8vs#=1KswKpzT0)GfC4^M1A%0a$xKGvkP@`&z=2x{rW$SQ8Ux+Fiwv^W6iy*8i)&@|uzFE#KdM^~_?WnyG zWg?hDJkNqQDsF*h8nM{BW@jp@oXAfn+Gs3GW~%2bu#`ofPoZszA(vW2*y5WftYxxI z6?2$cn{fq2uL`KDm-Us{? z;4mFgy9u}tfG~=hawZI$@z?QKVG0DgvPchY{|sha4FxOlyH{}7;wLSMiYb8|uOtJQXS+l(gR&l=%J5egd{8+QKFO&ZShF(}&ps zWsT~FaOj%?9(`p{V9DHaE0mRatzyn+=CFGM2oaAx;pQ(Z{B7l7@R5~JYnWsm!yk`5 z;W98Q!t9qbyZ8va+v0}zMES`J`2NwAKU(SO@6i7Z<>&4|hZwTr7DgQ8Jz1$)2@?4J z!U*MpR)Pe_DM2Ez5>(*(3nR!8zY?Tu@hL$cYLp<+{7MiDBXoMi8Qq2|nn9IP&P@eP z4Y50iw>}1=O3~!=!i93c>T`%4ihK@o2V@#MU}GUklA`2RNS7U=dJW{0G*}e#u-fwO zM_^EpwBPr*24LHH0CqCe-~WLDm}Ty5v~uKnm)5~LAHG9l=tDH+7Q5b)!cdQA&XX5F zj#wi4UgY%aRX6sjcTyq&?1SzaXu>-mu}*nO#;m81j-VTh(M@wWcVk?Rg08po1q}P# z`#{Dvx%2Q$ig3nRR}oj@X1@>&=FJ_gt;AA+&G}&YXSe_1=D6eA{^z;@wc{_ajSR15 z{GIOJ4m4w=W%_{Jz$b^9!FiORKyAg=@>rb+e)>uH4XCYup^HCFa);a67mlqjUynNu zVk=8(D@rl8Tvb(r8+~!^O%#Q$j(ih?p9tLvpszd#eLnIUxy zc5!_!`c16RwGp~m6w}2BUHjABCC{OTu8X`RsreD?0K`F(&;e#Eh!~#-nKV68N3ZyX zh8UkMLc>NqbbW;O;*D~x<+&KRWi~c9OEcN2DiR`-?lz?}04o$BAOiX(Q&pdFkPV58 z;kl_Dv7I;?avD7xIx1q7cayljJv(r=AyL+9mX+Bm55Zb%6z+~F8)wxjPKHd#UU!6K z%iB^AVx<-@31C~V#q*oA!rCx$06XES>N3d8YVMBtlO?RDE$cGq`Y3NzdYY<8ROXNZ zX=6UPh@6eQ>m}=m0`((cJmD~jrs>32fXUyKk#3>I$&UF)!Dd+_vZ>2zts>1De>P-+B8xvD?zYH+ zrRd!cAewM}FrT;?vCGm|^Z)Hel@m4=1>W%>BH;BpS0Xc#dYyl|k2!9eb;vD2wMnzq z%Jox;rF=UnH3%qu&w;PQJkeDyf$(Pd!|kLL z2(GE}7Qds})&irYz*+abT3 zxn19fwdCaMX`##0Y0oR7gC2CDo`x!?}>)phWqQ%f+OT0{$CDY3#2?zxswz zrCIf@q6x=WqQ0v?x1&eR;Hy8QG!24I(^r40JB=}3Ggp6}3Xbg5SAYH)MlGoYd{=*} z)+jP)Fza3Ysi<~_@9Iy=8eFfFp)Wx}9sN{L5rY}>`V#NzPvt$tWOMbWYUj|iiC2Fr z{)mx{`hE%PiOdW-SEFxY_-s(gh)Sye>Q5yi&xk-1+0P=zXbpEM`_5McbM>c^IWZ&o z>QBlsXz&bNC>eM4XSz%6gj&A;>d$eC>WCaFGUCb2LB&f`IJrtZOXN_#ov3l#0F>hD zπ4C$IkeI2vV!zUS!4AfZ$RysJNbu`Cj}`jbMJv7>u1>FQ5KfFc3`dHW0#pnE_- zPJN3BP&Obyn>@<|XcrLV)t^cR)Cmak>Q6<08UaCG{iz6pk|3}CRD>Z(kXL^y!XZge zSAQzXVMRe)pVu)_6h!s~9Ti1EY`@QxV~T?4zQ~k)4n;;?{mHT6!XTX|Gv5-U<<+00 z>Bji3{-n|X?z{SvC}T_TM-|`8TEaLHHP0BV+O(lmPCO&_Yr$pc?lV@>3msS!HBni5v1^QzK43#h+t$=GF3e~SL10SKVV;$ zbt~{O)$M!w=v8kMlgqQHmXG1v0OeHHzCzNF9CbRZ7PrFCt?zst=4^X^)^{;>&5*Ae z*q3Hez*OB5 z&yD7@a06;NQmE(PPosK?n8|wooMlv^i3OZDz{8p$}kljSBP2m1is_9 zq^ns?i>&dgGZzzJ#=^7OQbkx36w#U>FIKB+RSJt{Sh$d9qH?q+tfDs?bO#BE++ABI zC`bD05C#?)#ra}sO{T^(5y$J)Zn)$}c&xK5niuN%?(;XS1SZ7q zFwLj;c}}3YoplyX?M}^w37{NyqiwLm;-VTETVb6|W3(&DE&dW^>jN2Z_&qt4b@bs) zb6oY*%Phh=hkRAxhJwlZ7LW#t#+^ioyDy}XuBxF++a~+&2H8funYdKXmo&1IcrOT9 zMKW1OIXQY7|6%yK9?2>o%L?KHQ`bV0mF_gKVP!H%iyj2&%V3?{gF9`7wV3qxuL+NN zFWXp4ZbXhR84K1N>axB7v9dpzEDBn748(erscbFk*8$JVoID2kirSv3?Tn&w$G6eT~+vuY?x zL7HdPP?XteiB=62{h~Bu)lh`RX)r)2t42)5X&DieRYMV$6G2%u6k!DslvP6!PA7u0 zYA8Z65tLO!5mu&oRt*(ySsGh4RD9O}#W44-phByGVjOTcqxC>Bz`cjj2B6Zap_to& zN~?xKd(zmdq0rrFY}Fu?tQu)-)lm3Fz?D@);e)`HRYT!dk-M~ND139F0C30eE_JmYAEzDP-WFn_)~-<-H*tWIn$01j)XtT_{)SN<&QCblyGU)Q2yT_ zTv|00ehj#>YAF0ID?AgbjI0`I$ySYe<%EM#iB=8edni3E(W;>wZc9%~VbxG>hx~5F zD)D1Zzyr$Fv|eM?P@WGbI2->***~Ty5oO45wgu71BGQoIw2dcN8Zw;rr=e<%A!8(~ zMZ^q|0&_kGPZ4hwE-=p{z={$0tVCtVgbqqn8#PEFMU?sC%GV)hl;6Pd01>2MZQvlk znXa8Kx4BCcD(fl!a z36?+0IEyrGhauTewqlgA!+ZMfrgM(Gcc7H@}9R6EUQhpAP$m8LBZ zXjPvIDq=7#!49LmhnQ^aFe?AiGbGw!6o16XMhm?psEC8A94nx~u$4EQO7^smn&kjQ&!WEzdFm`Va(Zhfy-106~y;7)5~M13}th z6k$*jq#Z^Ph9p7SVHDwzBq%$Kq8wHf#PxX{6GcH}U(iue6vX!XOgW|~i0+F_+2>GX zlpTg+!-YXwT4uf_#!5R3>E>*o9fnE+xX%tll<0H#ql&LxOBg3c`Cee5k;o|jlPqt5 zkdxX_^9zVbOElD|%7<}PoS{Zh18MPw8bw8GuTEvDaWFs%x}QWKc|)jQfi2Hk6~lqFp68OrE&(yh%)W6w(|r((Hr zeC7~BwK;^~ICBUQ*c_rjWey=&n?ndT<`6N5DBn3gy0nB5F-0`S1UF)hY(`SA(B&@LkRJkLkRboLwu+)hY-zg z4jJ!Slb=RaoY2}I>w}3DPjgxYG**#>{@#HmY+IN@O+Z9e)d_1V)mySeBt7D5onz^F z=iB_k{dP>NFUL&z1oY!g+e_7pzScQ3T=)u{Ch=>&R>MtTa`qqE3yORJu)0)O+r9uc zt9Ek7jyAbHNfCZS{^%Obc=Ls1w)a<0Zf)u>hY0KoX(Gjzoe%c*u4d}4h1D!)di&Ri zyg|sOKw0}1P%J4`=AmI}htTnZQsSBPANji#az|rgKUuRZB8it#XnlCaiFAaunDW)$ zUpxsnJs?`h*d_ArZ=_R9T3s76!(o1}%9>8f4L4T2pl0pF6R*Ck8Km#iogp8Gk;0Z> zSfXZqL|Pz*c>nkm(u-4lY146@LvhBg_ruJX%;SGj*_zAjPw9Q>H=$D4Vhd9F?kyYi zvTtk8f})Y_8n>vN3G;Uyj4HMTf6}Ogq*x+><7t$L_##Fgwz%M3$Fs9z8$FHNJg@^d zlSoS(UW0BPF~(7jPw#Ci<_9Up{|l}e!lj04!ewt8g&sNN-DCK3WN1jvx$O4m0Gl~i z$lPhT2tG!dfvNivWe4EQqgw((a*`Cd^0x%@9fyHuNlco44!aJoI7I_{t>1&_uEMq9 z+eKiX#L&yTD;41*OmJb2c^Hft{FXL(4qRXnY1p|tncMS-EbAhvF~az|s}`n-+sLlG&({rR{{nC>a8!}WuBCY!D^4BWsB zHW+YOKlH4AggbC!9$f~C5s3>+a}%ymuf*Nkeexl>z)g97mU5%6)M?V{ECM&@QB*Mj zaHV$Wq8rxP=@xdH?$**3p1d!~C<&M1!lLiZ zyIzLsx=>dGy>!z%yBuPdh+DM_j}f%hwbLU4BJhR0yE*nGrnY5AV-Fs5Mx^dzhkV4c zLreK$-k?eqnbHt^M)e5!AGj^=2jEF}slYN)F^a(bvV=m3B`AUq(3Pe*CZt=ie5W0~ z+<4k^H!7Yk@~!bbAZOy4a@+`~GG1h=yYnW02rekOOx!^M{{MHI<< zx_c4+X6NA#Zs0QkW6P>}Bp!OZ!P4sDSymg?IQ24pE`rB?$ZZtDa?tYjF~dRr=3+DE z0Q#H{wj$6EfCJr=SuVgum#A^-J$q}HwGu2x*k9GHN-yn$7g~B4(_Yrusa7L|wTsH{ z0l17of%ehO{<|pyEL;-}ihA=@SbHc)y3bsO7{lx0z64^A=FS$AMq!<9k^ZRWP+#Hi zW$;aQk1;ZrPp3eB0@7IM^_}EdSjCj`T+};-mJqsOLdq~3o11+B&XNHh<$$yrz7k$5 z&?~3_XHkGhKpLaUbru!h+=++)cDbqmPb6*>Bb8vjuLPS=Y-9gQRnZyZtCZuNdE;mP zrSOmS1Ln!5T*ybtVicm<5pPYWM5Q}VF^~8Ycx>?{j7;8r_v+u|k4G2!MqWSI$Gw&Q zeifT%(QE1HU3G2wrm`xGJS(e8wSF$6YrxaKjwoY5ag>vQPJr&?8rd)Wx$N&%o%C5q zv@KqHZ<3Ch+H`#BJ@HP}8eAH6eI3 zh)t$5q!YbM?X&j(y-rbLlO<UUKb;01X;@HE`waX~lLqHzejIHM?`g`t^&&Vg6O#|9Vj{_>NrQz< z;ipN1^BFf!lLm{J;(wYnxPXZ+$;M+abV5P|7cw>OY0}^#rt;II!NrX8)1<*AjGL!P zgG-shPm=~uW}KfU4K8Ec_cUqn6sDP{NrTIoqMs%Wo+@+0|1@cE1@riyCJmm(MDsLh z@bt{jAs^$OCJmmE`MAu>6~d)VB?eg(j>2!zc)AEAl}xZWlZsC74e^y3T&TxRbIDzo zDb2_A2AjO#HJSH70h*^tgV%C&Q#?%?ypG)xpC%0+$W#|V5A!r>@F2SxY7 z7M$Qa_;Th`$S9X2EXIFXI$0*Him-AW?9K+60e&JLFJeH|>VQ)!4n4fh-rXU}WBFp!ON;>gi;*J)&CT1La zD3I?Z`W(iy!*t{lGNp=MdBU-@HuWg8$(;$Dce7RQ497N+*-lc@WaNyD6WDRCk`eDX zi5&}-Pdp1v28EU##`k1qC{n(Y848$TVHj`5ELzAO)tgemDV)s3To5vF5uD28WlUCv z{XP+##ttj+9xvYbs>KV-!RbsZrbnw)>_l(|hgufK2r_ZsSa2r0u44JpAjFF{A~=f+ zY>nTQ-ZR3Pnc!@8toEX&pNSH|6WOue=cqlN#BL4Y%5HioUTqBs&f(a%o5zW3b~a+0 z4pmGfcrH74hN)VXb@a+r(mm*7vZkZ4(IcO%_mUf0urP}nq*i|^8GeF>nC6baCW5DC zJqD&xsoww%mS?qN^CQx$-vAA+;wZuuSBp|WUuW$<%ZHz6QQwUoxZk`t^owca-wyow#7-owO}Yq^FO80R?O*Rk_d)p;CXVZ-jD3?9s)ttDwLDv*s}McBeBNxA=7gFZ|&uO6`fG-r^s>KrywY&2k*i1qMbGVHCjf3 z>{WQ(v$|YcB&<0Wm4tQJ$elxS^Llr}f;#7m0F}UbBrx|8l3hq1axW)v{@)-Ij|{-G zo;`elXA>7;M)eoa#}whuVVYi@3Lt+y{`{1%dH`ZHCFd~v7 z$BjV7T?W9~n12ADe&G4H1Godg`1{GBModUZRrzk(0Tz=fi?YT+MPe%PWw2U*Os{Jj;P&c-zC1R1Zr#PSmz04)pe-b5vb{^+{Q+jX|Kmfb*d5&Pu?>ZEc%sj&*hK7nJmwLlvAu zUqaEofFNCI)5>2A@HZg2%IuVX6!^5M@Rx28n6VIlMA#)Cal!N^TGeu7Xw?bju?36Dq71*;Km@bHlXWB4mh!m?_|%5AkDjtuP6f&iP|~$T%-{7y?kRQ2bz^=u7U2xrqJ>1bqS}Q^}pgo{$d| z$;knoVCkb^HhfG(hdTwKnrxT~rc4i=hCHQgkXbps&^jX`vpz^c6v%wT%bAKqQJRU} z98@&22xb)`JVHF?*@Yrrf)FPzk%>9UV^(tKgwdMkdQ_?i#UaYBd0vQg+P$z)g&Cd| zQ9O8Gmc4;gk?VOZI>B0yTVrhs)rYqrw-Ri*qrPmU}m%|D5aeeMjg&U3YPoBB>q#0fdsGcxxnLTCTo1L#yh884)~LDhA$5w ztJuqT5?$iO`HTovij_;y2!ohe{>S%R@p(`%uUjTG`G|!ob4IM6_rG$e^hh-L00-R$m?Wu zzPhUVrN^f%L#He}T*@N8l%Z~n@*+)Hoasa1n&qLYszg1VkD5sZo#SVDhqXpGrJ(LP zhb<@5sH7%LkMC|WhD$4&q$x$DCgMevn#Cwp?R`mBY5ZrZS$rvkbSY5f_w{iuiq!OR z>0WG9xEZQ{3hP{nkShIWBBKS!Hi&UaeB_2{fqIA#)o6#<%!L6K331*DYq6xCX% zdb}g(O20Bx!T$659b+1QS)|2hCzYyC$$1LVUu0pO$q}8&s>zudTB17CjT&l@Dpt12 z0^g9-%S){ad1{lVRnBOC*6X1jjdRDuXTDDu5-_u=F2Mm52pF?nkv(;X)xK>rH2WBe2%G(h-L+5AmJNzLrW1hzRuk$Ox<1WR?QfR9_}}3mRF6GV&isZ;Ir=rD91!1h-83>( z)9}V{{)oxSizfg6?|)1V+ya}fO{RbRe;fMF!Vi%nys&V-G~my}F3fpNz5Vm?UntVj z#q)PBTN(qM+$7hch4VYQnmPxXTVN(i@TeUGB&h4+A%9m#S66>wJ3<#bv7+AEr(m_; zQ^8-=52CkZD>{Sj;^oWQ8 zcM-?|qY~R9S}aS(dCsw_oUeTNp>^BcTerLI&Y4d+U$fRa=M5e_c<_2Br_j0g{KA&D zb=N=A{PO3v4msfiPWxTXSFJbQDUVgGb7w!}eC>Sqz|*%l_gaHP&RgfZ^$UBx)4O$f zd%$)sOK(Mo{hvDr0sks(E#ND6-*py{*VEnv^7-9suK@CDTEBF82=^{IJ6oNM!pmP7 z`XwBmlV0xIec<6owz#J|f41s>f7KthIDh}};MT7`;*4@1T37Lj%>x6QcRuPoY_+a- zr&SCTtvP**JOA?=>YQ(%|1;-7tKa$N`4>13$!~wlX>L((`(+Q`>zwVbz5hyQ)k8A4 z`b(XR1MXCJzO(IT&X^a!f6)1?ePI9H`}aTX+;jem&Q*J#T6b`3h0`-AKet+gS2$DM zLMK}P@XcpAqaSka*?WQWT`aO&KUj16T<6fG@`0&k_ zIYs?W^;)M$k&Xy^zU8#M`Pw?C#w~Di-2?VG)XNnp8Cxu+%6VKhRm8C2mR}vDD*cZA z@9OAmZfcZgGRXf=W%xH7clhtFrQkttT+V^xAgZO9X5ecz@fAF=D(g4go!4-$?%1`D zRpZZArwwH^uHLzQuY2Hw`-iqV;d1&PgiKDhz&F@1xQES~mg-uH9lQOQ`-_y7Xsm*W^jDAy>3cwdJib{`;O0Ko`^vl08bmz`w1|z@QU;=#6!E%S^dNCaUv2O_bnA3js5MZHMxFN%E`3cN1goo zAKA-CJLlLlEhpj@?sj@worpclx@xzxdvLdm>18sepLtJXI(+u~o{dVVU-8*5{e)iVa2l6vQipKW#Da4&Rn>o+WO3qDB0gLB;#ZtNxZ`j=mF`rQ|uFIeuI zmA&ri%eO9eesn%6o^#N8(Rua!16|G-)I@jCUEqv%*E*4hDxFi^R%chev++QQlV0fT zy5M9dbA_{eMVT|+&AD^G^T6KL)B4;GoPNn^t2V52_S8FtZ#q*BIMG)0#pr&W$M)7c zN-!diExa~^tumG)fAj-dFK$$Y=*z0G$F^n7<} zQ(f{#d&f1x^N;Ehw6DIG%=xjfKHyAqS2)=ibzJ5|3!N|QZFTbPdDg=>JCkK@d|~ea zd$t^SoQu3T!(L}m9`)SiG`l%Yp1T5LjOM4DPGotRJ>9aWj=AvXeFvTK2evxxD5Zyg zS&Jw5pz-h&$XWTRJH+u{+qZ--p zjs|GHW4cHtH7nl*H?J~dQ%LFvOZ^bgk3tKJ|<}EpMQG%cailwHRa#oH^jm+p*5cdFX7X_n|HKqK@r)amdL& z;B-CY6u-F}HO}ey*|&CX{qY%2AL>{AGtR)97j8MxsdsZAv|s^Cb*J2P?)=L3UA=wl zKPpE+06va)MvLS^W~O%anW%LIzH{IPfH<$<7)N3jHbNRn?9W}R`ssM zP<8|EVs~ZLt~1@r^%eiUeCrZt`UP?}@m+D36Df3V-TO0l%Ez2%#06)%7gn}!bv4epv+F$CY#Mq>3hOo=d2q zltFq=n3Hd>C#*c{&vmn(|D<7eSKW;BxKX47#m{IG{s{dh)<{i^a; zmWk^C@YC*5c3&f@*yxa1EFtaQ!0zjmShyEScbbjS?@)HJ{GNw7I{j8=Mk_M&OEL>e zGG~`$7L{Z!Tb+5t%68JS1EK6-Fgp~;jyTz)pncV^XmqgzG_K`qym}b->FmFU-5=!m zm1IUsGV`+?Cp%!TMp{P7p91*N(=6Ecm)mgi=YnSt{`9#1uhJL!>)DbWv9m)?b}*0~2xdF5M&dV|9GO0n z!^615avRPcr7wy)svn(cpg{18uP@a?^XX?~zMBxv z>6uR-62H{QDX#Vv|A^__jXi3*>+|%6r+3L+pK4fdJq1+Tf|D3)2mwL@yMj(kL&3~r}3|k6viKPQ?mHRCV2$UJDj52r0f(& zN0lp%7n$>U9Iu4apuQ0F5kSu?pWc%(jX#+hi?zT8(}DXWaQ#zn|3D9Y@#dWJn|z`) z)iF{T>!b`Yq2rWbpBU-<=c-!E6n){geR;LuFA!XWRrs@)qlwr=2 z`VTLjnRK92MmA1XyLW>}r@R=ccPHLKZr)X+?(i5c7Zc;1h|?{1^6tsN=(A z9s2ZGX~K&E3C|(WP26-}&AV&@?|VlMpzD)AJOt`$SdaNZQ=JR*&_@$UztfNWX}DgI zuO>V zWRfLnX!1+H4;o)JT9A$sxD=1~_Yia6$6rc`hUs=F`hgbJ@FN~AU&D`jv;qx3=Fw(r z_^TeRNW)Khv}GDT30*V&ko9zIJ^trl{71hv{6&7z)8zE4<1a{x;QPzm?Z#J)_H~Z& zm6SQhIP|GJ0EOTg44&eVw18G4C@FZleUyHu8eg^A*LLHpR`Ga$HTR>QFU@_Q=j$+o z|KX855eoVx2d~qUB7@H5F!q_Sk`nQCc6!-kd7Z&uc_bZ;UzzAs|CYhOdnC;7AEmM&}p=ZD85@3(_S_(qE9CV3Lj;NWWo{jvPpI`!xM@8~c}{+HUDhD(?Uw4`>U{o%;E z{Glq&Vo-Za(d{Ms+L-KXBg-+LWMB2kzVyJs_^M_|i=&ijSa&&;BO2b!{GjD)SkF*X zwrm~1b?g!8)!}zAPFCEVjeAOrWO3H#h_Wxo2qCtOx!9yO2p`;J%ZK;Aa zoXuR6aY-JSpm{07H5?hipBAL69r@ERP37q~Qh40U%6MuR=S<~~{ApO56;l=_^9vju zmp>Gy23riNmKKxfcfI(eCk;RD z(Taxirz>-ccB#GS;v&%+{wq5o+m30zq;EM>Ap27cYJVw$JCRw=HNI+f;oRksbR&Ai zBYl&>XFbwO48G!#e$L>pJ<^{T^dgm1aLkc%HZzh2)&6wVqrCHAn(>8P6Ew8xJy1;J_X(}q;1L0!$k zr9mwn9hVWxKm&iZKuc`Y;2=9;B&k6y5L_DcWN%`A^J!p63$#SN20zYD$R-VHf#A}h zCp)QbXhE9Q7W>cs3k%J%6hJg4nNJbB(Uk}Eqe9T|)jU2%Asm&C{y%fMw$Qb*^g|YC zFlI4P`~~Sb27m04=5yfdO<~mNurD^Gjh|(3$dGDute*Es zx?o3{e5lc-xX2^vB0Jk7>GIJjOrfH60_lQ2`l0D)v&J7il8*Y7CQ`Lprmo&8R8tDs zTBa5VUpm2B;PDA+;+SaPBlz;wjk(t(cte@bRS8f2%gK)$Z}9M)Xi*J+gBd|9N|vH1 zGuQzoa2kVqJkqrce%B-Y7lV3DJVntlhbS<)mPHI=oEKV}M>b30i zJ(8CFf=5c0tp`e4r1^TXw#gX&!UIRk7nk9L%SXA)N%UT)-rq1dv{4 z(l7Z7lCC0Wdco-^w|gWV`RBU8i^mw?G%nTbIy-K;^su|`nsQU=$0 zBppv3IW$=vDIJp(t@sEFc!9rYW%7a|3hy`Enp1YI)7i0*zmVO>p!Sy{IPL31u-3ZCmH;yN22)ne}CclE;1~2l?-~pCk>pm1oj-Kd-On^bdQGh(z-2nMz!C>ub$sP zg=kQFOEGlQ#rk6w1Ig`)5*IOHDSuH*^br36L)xMxc!4D~S2RZX3-}6G!@8?L;T~h5 z-sd#-u$jM>IC~F++8;|jfkLr?!k7wwwdHwz#VbO@@k@!%6>GB<7mlPGQjh()1 zST-|cK8G24oWB&jhR^VFuE=;`;4pu`&tFsk4ZiM?GFZaNCM&h2gn6)$fFbQ0Lmmx! zf;MVFx@^E@BruRHs6JUxeX^jWKBSl+4b~e{ ztp@d=23#7{`W~fw8+*{8rRyC-Vhh=E~ zKicD)UJNw&S3|1PV8|%28VzdcDbz=@?2TIXpN#BU4Qhd?DjM`;C(X`u8d5dG1?))5 zQS&8!$1wrfo9Lule~!nZxwYgJzKWTsENCUwZ!oC6r5G$|U$+=v8}-P= z^Od9~v@c&+UuOY2%oJfoIre#8DqIa$dbFhKF`M1=H-+e#%rcL^sISWz)RI$ZyJ}{s z<1b~khJWVKk|L!2-N8I4==&M`ibvuMF&}yrg?>8>%a%(S)PY$dsR!1++KsR3TNwPI zM^ci#zuK1<*2eRgTkEnE`H{kx=JsNkR2h!3;6Lz}a#6##dur~87dwWuM{SWqHfpfI z=(M`U3~Es+blMnZ(Tmei>bsJBC21?|>m(D_rrso9n-XKKeN8aFsy8yY$0J?H;1wPz zQIN({DNC)|QnhpK&!-7BsJ*37JjuQ`Ci_Y%+5+}AoxfDH8lLCTk{XEir+G$*5KDRg z^Dc6rnf+eP-$8DPck(yN-yZ%Z2K8?Jzshpw1e9dGB|~pYC}z6ewUHRU-X8L2mNS)G zjh-21GM>ZV_uBuxEcbQ(>OCCOv;`?4z<0{8&3sR=S{(hRjck?$f{C!+5+VFT4{K-8a09)S(kJ9~f?;6EvG zN5mR?+jauVkE1jJ%QF-Jg4WNA42694w)BhHh5|s`kN*w$ufhT#4H;zbS78x_R+tYV zt{%@%*xloy8H>wQ#BfJrM;8L4RCqjOwJL%>Cpk*3P^+zuqs#(@wuz~*3USR%KV;Ak z4#+XuQl!m6k}L}G0!O0)rPNfpTDYyRk6BcFti>9Q`)W%OO?4gX{FJ(QJw*h+4E%Sj z(KCd&SmORa+UgOJJhcA8o|vhy*NZd-;#jNw-VNLWZw0_Y`0rShzwvTF5Zxe=%Sb$X z*xM-ZIaUEMJnoS2`#AHE{nN27Mm{J1_A?Ky)jQS?@Rtcb*6W!>adIrGf5}}4)h7B; z{cx;?WCqanasb8Du_h<+=oWV>+)azy*3#C|RoDcQ{OS5rgw#-wDeHz;6#uaP6hnrr z&&kP_;ZgmeTBGVuGLw$;y>L=-Zf)G&BE81_c^d92&S)vgVnkP)GA~meQ^q);EHn}{ zh4NnIv8IrA5~3LYy;k`GRr@J26YKpHnbZbD0U&ZAplE^@`U06j?S`kTZGp^=K|e(%zQ<3I3A@Ti zStw(;+fR|H*yp1xkVzf#Q5MQn+~%jqR2=eCWGWu;Q)HYk@>67-5Bn)H&QJL%GV~)p z$|4!(m;DqO=c9g#jNu!8ij3hgKSjpyEgxm!G9Z-6ro0x(IJ<^I&XZ6U`=)tiu}G#O z-|(Pa$W#>gDN-_xBXvz!B-2GBN=;cTeMS8gnbKu`imGFNicIMmKSidr+E0-wCBwK0 zOQx&APm!r;Hxx=KSwtGp4eL6;Sf-*Uj%O)&3NXL-TGL8Uj$o10qf`+EY4Ip$69qBX zl9$Mo?lzLU+7`?F+2^Ayk!i0+yu9|_0Xh4S7EkhdpcEr59_7=ZM3J{1!DEn$O4?>r6+m*yckbQWi@ z$4}W!a(Xoda%4R`YUF?->&6>~LUKL^Up@UkU$Sl-Gd%Dm%l$1wAzznL=sUG9q8tRJ zc$c4Y7bwT#C=U{4kDupBPzwIdPkA1c>JRxTKLO>?K0k#{_Z<0%pYj$cM=$VGf|yAZ zT&O8Xg{%oKv;h7I8Z|AIHIq<7k@bhH;EF=&%7Gm5Nl(tnvL+N59*lNmO~^MC@`a0P z#nI1bUqqP;%Fup49sh_e6lmrELs$EFWUf}n@mvO;p=*3RvMlQ3 zc!t0;c%9~@ZOX28}J@Z<4Nt~SK+^nvF{MDxH|>;|wKX%?;wu>>3t=a`4b?s4sr@eE2af8&)0!WJIHr{Bwod3 z{u7X*gIof#;2=K*GVp3%>YsqjIml9EzII$DkF~b|nQ@TU0BOPV0I|%QfJ`|^H;`)% z(g$SdHN4b2fy_I|dx7*~$sRNB1G3;ClRyTrxfjcP1jw9&d6BS5AcD^KK}LWqbQ&3qDbcpbZfC|= zhRkfYkwJ?RpCab<9QhpayoDpDsniCJJOyOtZ5;UhBR?me zEgYe@eNSWh9+&z}v|#8yj;sXI-p`Rcfh2ZtWF3&=07u>mWNs%%wgQh}*Ra$b6Y2uLCkS!4VJ0>;aCv z9mvcub1(3u?xXeu;Lk{w9Z<=Oj)kkyfZ-CB6GFzmK zzM4$8GS<6JWa>Qi2(^oJ2^xECv}jsdOGaNCokww1=Nde^K7W0S`b5`!QB>6j^VnLt z^!41h&L2SuRE{0S&i~>%>uant;8u1{GcD?)xgPiZTeOQL|1HJOqdI-~_yl#LxPblY1{SJn0hnHKfYwEf4n?d(M#TH4fkI%>rW8DkV_ z@}TRd523;sqF^6dE6anfztdHBnl3DjUbEr$K`KGl-=9F&rpQ{lq?aIZ(6#Iz0mWnv zGOxwI0N7#d^BiE+2ic2EwA>4Ap9S!$K3LyAUunQT-?H}k5*TaS=N}rd&kdAT_PGn6 zYTE}BYHc6;t`*3}$6oXT6+g9e0!d~eGoyP7#cLvQ5Xgc?UX%!eU@{Y=61#B*+X{$j zg&ax@Sw#BcDSZzA=|lD}>VH-3()sWj&M05BnBva=6s!N;`hvd6gJN~;^(I!wp=^y1 zqF8+scvP(ZFPwL&*1@c88#iw3#Ti;iFJ0oE2b73p_UzfycPknEOnlq!{*APM5!o=h zpM*-s$2ujmX8=%L8Pvy=Q1ziUx$&xbHuX9@ZoRck-_}KC`Zij>*R6Bko*{<^U#bqe z2c{K&X_B#Y$rS#j7P<%B14DPdsC!_3ACWB&${Vl7U)3*`;}iZ=9iIn8*$WPbF8U;? z=>8TgbE7+PRQ_>EO#XSR?O*Xrez@c#=qUrZ&`Pt)nsWd><|-Mg&&yEUt+VMDQ+A%< z`jhmTxeXOn{X)u+9Q6delT_#MX@bN-{o)7fOuzUnh$x%82lb1W;f(4Rr*RShJ5>B^ z+eFb9$Eqts;^g-k{8fIZ=$F1AzyA;pRemQKd63`lLQ&=Sdm#Xz>L9-l0juYC<5Mh& zbj}>l=0^{(wnJFWK4503mQ>Dnus}&Xd*LZo_dYPTnAB~r3 z=CGKTzihqyaY+P(yj;SDt{SQTJ?Lm$cMtONx2%^xfs+8L4)P#f2~{4XTtGE*5Axtk zp-6eK=$_Qy$b(ty!R(K=kn>D=(fvtS;=cqyLS;2jPRgdeHl?FmK{D!sRYk;_oGZBuZr?wA!Ll$HFCZbo_ zr}nHMz>f$|W-E!_qh{46t$Gf8pkc@DShd|+l@YLyl*h8xsy}0A;*S*Je`T#ozp>a7 zpVXfy!XLF({Q*z_RR=|QJ1nLmd^niUho7?0$_((zZU^x+J<)Z;uFn;+=F~NZGE~GC-J4~pse*hR9Iy# zx<6VTx-%p4~5Yp%#W&|Otkf&;8 zKPo}~dO3 zuC^p;@z;;6zv6f2QF(Lx zXUbzy%-0@?q)#bg-NWRu7s{t^Mxd&Gai6P5e9dE1is1qLq6?>n^eEpg^~cO z4$4u_!ai!;!9Z3gNBtd07MmN>{d1~+$-NX(OF60)e<^Zmt%;1kZdiX+y>yUBa9o1F zirQcGa?4f7%o~}g)J-5WkD0jyL9axEdQqP!N7>OzF;flc$+}lXWz9d$d71d-LD}zs z_409ilLvWu=?>%NGw2MhLWsQlsP*zBzTpo$%xEY(@`U)(Bw zXf(%VMm?HS6uF1VHg7?lRs1-wi?qTIQDu+aI)45TGBc<%HHd7KNcZ9WDtN11lio>sjCJz4#l)X|2Q5?PvB%nf_e+%Cv6~!36153r&BqYh= z?m;oO5A@`KrAyu`Nq|#~{Rb3PF@_tDYv`gFqa3tYjN#I;jxpJ{OpNWaF~;81$*O%9 zng7%EV=NenUKvxdGIq352(^^#?;gg>vnE<<*ur>u3Z@`i)*DaB%MW4%RbGCht4Mz% zFF&&1c=;4inJLK2zmNJTFC#V8usnI0-cY8zTz^jT-BrfRBqI;<@*2df@^bw-$pYl+ zdD(dI{nmr>g{P!>Ej-wwJy_3%TOecY995U5xHHD`5t0RR-a#gT%$wMVWIh380E_b_ ziB{cbzYJu-A|?Z<`jAg?3j}2O(Ohf{a0>gGVuP-ftJv`IO&$~*9S2No{3WIqZbqUK z6dRwjvC)cel8R#Eyp4@nlp@c$2W5aI=!_}@d`u-|S0u^+_uAMf;H=a|8K4E0TP!v{ zWMhMrT!1g)!}wzAIQgq~|GFJtEST6>;$~~3k$mxWG+g_ zVtyGeVzfNYd%-Fo(+=`RAoC7#FOcGAOeyId+8;qEv*UKWbIp2(5wMTsou63mT(8+y z^3MPKpz+S{K^hBys)M|<9Mx3bp&!|jRMb-@;T@GluYiH1-Gh3{!?;WUCCCzQhO|7$ z%Pr`yXc}ZbkADGF9n@2LZ_!gooW{~?kfH8@t8bD7IL+B`JCYtxw@@+XFcC%1IH@^X zboHb%R>}nV!g$3z_x&Dtx&2SHmuqUmbqUA}7#TaBs#1|Ppcz$Rhl~UoG2O$Ar;S9y zk5B{EPak(l^f!uxfBl$=gzefct|&zUtzJ|l{1Hyd=29dK!GkIic0)$in>pljH zQIJALA)U+c)j^U+Q3D6*qScK?{zcl42A6g!YG<(tb{8&j{^!?53*i6`_5)Decoj z`%U5ZBSQNP;rHWBX+J8oUl-aB3+?|Pw9ho9{kYJ+LRkKo(7r-g{!~-iXNC3+Li;(P zeT&dO+m!b6LOVSri$C$Wlcc$ugLHjRXn(RP?T3Z-i^A`Fh4v?e-{+drJ|ncR7HvN% zw6}`3pKnV0388(Z(0)Q_UnR89H>Eu%w4V`{-ypP?gyo-YO8ZHny-l?Jw9x)0(e{O= zv`-4{7ew0!h4vGo?N^%8enx2T6K%gBwC@mYzt)uYvqC%F$ALerzh4sChlKVUO=-U< zv>y@LS72i7)Tir&(4IJElp`Z zEwm?v_On9!2BCdbQ`+Z+_QOK^GU4}6#oG1tuaBaisa0Q%%^(CL+3GOn{(>#;iP zw$r_8_1bRsc7BcRO0G2is&#jSUa=lEUal|G{j7nb)AG@{$y-jwzgLi-A#{g}{x5f?FB?LAFt zZxPy83hgI^_A5erZ&TV=3hk?f_LD;UKMUb_Ui22=3X&)5YPYCU8Li>QwKGc-mFSK72+7AisvrTF55!y3C`&FU+X`%hernGMm+H*qt zb)o%Pp?$6??R0OKlUq73i>S*9?Ku&3=bO^rFWP=ow0)(>Hm?`iX1*!y%Z2u3LibKc+UY3?x4&O$N_(qlds4LhtkB*S zw_UH}cB3-&)^TPH8@Ix&VOdM{y5O2=1!ArGc%j#@#2?x47HinJ&SnkE>a5#7a*MW` zHSDjkUCE^Br}fvTou`eL>&tZSHLf`Wqo)pY$ z1@pNEn3oIY(}FoEm@hWKyh1Ra5zHF|^OXjeTLkl2!Q3a9$)OOVz1+HXUMZN*3Fdym zOusy}81pK@d_gb|3g$HpFs~NO7X|ZP!Q9gTbE{y!B$(;xSKW5Cuhq4?0p>Qrd|5E( z1oKn_%xeVmRl!^l%+n1puNBPK1@mFSe5L{BcENm8Fdq@j7aCwr3g%_Vv><0!G>!`9 zg$9^=1oH~Pd`vK3Z-9A&V5Z-?b?baWFfU(WW$O0sUctOtFrO66tqm~u3FbDzd|EIk z8(`ilnAZyCGlF?*1I+z`IVqUW3g)o}mkTju3Ff_m`LbYMj%nCp*2oCvj9|VhnAbMIJSLcP zg88~&9%z6$CzvaO`KDm5G{9UG%!dUty*IU_K_8R}1DV4KPm$<`aUsO)#&*{m+Zl`G{aXDVWy^=D`M-9~R7~1#?m` zPc^`NR4|_r%o_yr*#?-W1@l?K+$WgnY1_r@d`vK(6U_aBc{%P|UX1y;V7?%j2L=8J-PuVC(LfO$qRUlPn2!JKJ;`J`aJESPhGd8z^CQ-b-bV6F(};|(yM7R=WL z^I^e!rUB+z!F*FN9}&#+4KSY(%*)~(GkwqPQNetr0p=$K^9sRyOfWBxclqkhWX=la zm4f+%V5aBR7VCp^f_b%IJ}H>DHo$yNFt-Wj(}FqE0P}glyjC!u5zL1hV7?%jlY;rI zU_Q|R^Sof*Aehey<|i9qz9^Xc1oH*Kd?{wu_wB5~3Q|XlzMG|ioi1;KDAm9&Ri&x?+dO?i57Kj;h zmCObp=BkH6-UDQrqkSLo;4LdL&qshzD`VvIK zX#W8avpYw>>^hOp71I0EUDK`F^8XJq*TEOJYvn8Ol$*n|7RZ7lvmVG{$MRc&m@!3p zCj>%A%v=U?)+zNE5Vya7l}b60@LeFwo#_30ATV|0SEcJ0Kq`(kUV&dGXoU2W~LV}S4 zrEDcks5`5TcbK+HWJs?N^?X?J4vWeCf)PAifWsEJ3S_ z(B0$FQ$D0Am@OzINF~9Vy==a?LP%_-YNA@ zK#HtB^otkU2hDF#s8TO}HEig#q6Nr;!}A6p<|$fL>K-6%PJMO&x$0PK6o^@gt5Sas zoY)F(COnEJ^^H_!}BDNjKi}4L_HA}MN2xB zL+(wqM5R>xjEomDVe~s)G4sz*>WtIUUje!7SmV{JQ5QYeQtf&>kc<=a+kqT)c!q$G z$>aP}2GZt~`Y@1wrxl+Ea>3#G8j!tCsc!?>?O5acKxhW5{7#-)079*dwf`R=Jx;0L zz)zf>c9?$`$SFsr8^|Rq69hD#A?j=|AYH?dIcHm;GSZ>wY52H4AB9XGWK~WG0$dWO z{EW;NXNhUZm^Gua^EZguvBrM{a?(NmE0B4oK39Mou`)q9JDN}B60?pB{Yb~kxLxBa zTBaPC-=ZJ#u=7=F>F)sPv!w`m6Of`~u|6Qz9hm^gC2Jol^#LF&9GS;}9C2j62!sq2 zd+<9zb_0v8dNGpWqs)(iAb44+UjV5%x_${H=jgiowTO8~rU%GXN9J8Xl2#^24Hrrk zD2m!{a!Up>$DEAx0U(DRo=*cYt3T!Cr>T_n7dR9D4TyQhP{~{ca@_IG4IpP6=GCpp zy^b%|0Xge1ZvsNku>#cj@I64LojUIaGGKXvppd7f)Lf+9lsW<#8h7I8{Unf+PJO-u z1EtDPDafta7jt%mTUTSoLWjW*0)qEC5;U$oyX*WkV$KKs{Ixqbi^|61+vnq^C2K+-{wV;^y5JGI@DSHk`n^T|VccYY}y$#4E2YCw+%GfdUdw|dn znLv`m7NBcBnG7j^XfebpxcY&Dan_dJy)CEYzk$E1-ai{Hfv>}fz z<0CLfh=>&4avDn*zn5U3beI5id=CnNrUBdREPT&*n!8=3HucxD@U;-*VY}Xg-gW==nCyh-ft*X-=b2tp?+* z`l0=7et#;L%?v>QcvuNbTT}T=E-WPi{K8t0E*67q9zQyc&`*^rQ6ftRORyes}lFJ85H2i_&izIgE$R=9%~;2Jt(@eZGr?eKkm21P2Ub2*ic%-7Kc zZU?}-x?P}$Ye{l7N!}8?NI9;2l2;(fE0APtUOQ`;$6MxgFgCA)v3VU18?%Pj!Kph~ zMZFGIQLm$$Rn+5bOihQ!%6E9Ie23?h@8M*uIUPQ$T8Gb?)8TV!pHt%{($#MhSXDf{O*vM`W6|TW=y}XC9ygiiahr3SdE91QtdU*31UHh$ zjpXqV_IL<;zC*xl>2X`)W%hB)9J}HT+w2S%OENQgJf1xs&mNCwyno)3b?|WRXlKkF z?M!D!JF`YdJ8M8kJ8N1;yF<-9(9zCZ*wM}^y`H&qJ=4FQsb9~uuV>2FGu`W%>h(2XJUJOVr(8Xk`sj|Y>-qs-&s=kd7oc))r*l06>c9*=#GcM6a97LRu$kM}Q+cRY{x zNRM|_kN07ZcW#gOdXJ|AkLL-WClH^f7QdZ&!RP77=ZVVaDa_}|&F5*)=Lym0snX{o zzt2a0pO5@LANhSg*!z62_xWJ&^TFQdBdSj$YI$O~SSpML;{iHnM<(s1gYFS;xNEq> z3s^Y%d}Q?b$msKt(dQ$h&qqd|kBmMa8GSxx`+Usy`Pl69fzsy#rOyXSpAVEiA1Hl3 z>G1hL>GSc>_gTX7`LOBp!O`b~qt6FNpAU{cPnkYXnLbaMK2MoGPnkYXnLbaMK2MoG zPnkYXnLbaMK2MoGPnkZYOg;;aa?+t8$TH2 z(uMqfy{-!~VH(@sL9u{K0kj&#)~gGs)uYfwQfc6xL z2jQ4my%GZ|6oR2WO2p$6L6Lqm69YXyPO6W#ilgO`R5mwJf&uT{6>KV?(UX;(ut6yk zA)C$GQ)AJ?b8Xbv^aQR49t_fj!oDmmz3F#31&-6>#auWZ<|{7UfU<9ynrOMArf9;z z{?xf?DSq6QOcv}54@SO>%9@{j4bsYR)?_4|hKP|4a@jKKU<^9gzl$z;45zR^4=^~; zl~nrzkrp^=e`t$Jfm9|FpXKi+c{6Fj!)rnCF4|l`IBpxqKEXYyG7KDS$>uWyn|FoL zYP9P@b6$(=6@k|a%cUE#6Gnp;AK7(XFvI4s4L^&+ke!H3a z`6k#mi-3rI6IDR(W!ttQ$J|rOW=6wcG^{+38Ge8+iKE-14W$f>r&3UX%uX$eF01Pn zZ9_NBA|?)nLKiC9k6tuUG<8LlqC63$t!M*EwH9yc$|5c!WTL1pE(PfAsZ1?f+FHY{ z>Vgdt3Ov!DDpxk^%;cIG8#-Zrv@(Y6a#L7cx2X1YIXxC;CUT)O1mv+nHB1-0@E%Kw zhSWiGElJ&9K<_NYMod^j7s;Z#QV5k{kDxF@O-k>hWHF9pCS!}PNrec^Y<_}zeN^jP z7*q)a>H=o9k`jJ;yaX$fmhsg4;4Dmx$_LApa6H%_mddoxM&|-NiApyIS^Mt_EBEJB zp3T^_xFws5A^>*Xr8fVzVZ(AG%ICua(FIh)M12dpgK_JE)OdLmt1sGRtm;cO?Q3nj zKSjfhs$W)L8mwk8y|GZ*hd!m(cu`-hRux_D)5A)DEzyZEIFPN3Q9sey(FTc54me|K zTrZp;5|sA`m4iiOge(H3h+#5NecNomW-GZ$Ia4ZBf{{WgO?@*`1j_9N3NE!FOQy^e z%=@;D5F(W>>X@&TxuPUAf$4w>l>%iL{1REU)kzdRMs4q^4hg|qWV+2e`XZm1P>l++ zNYyz4S7^cG_Qmn?ehMNCy;Vl1+_*35$mj_+WnxD-dIfATdYc^8Dhk13ttQwA!IYj7 zDmJIH1safru5>BHFhn+{NQiTCuzlN(dmjk4?btQAvA;j)+kDUc_XgWH4sPANb0^cS zt~~1o=xf8#Ac~GtC_6nSa-X^|6#H^l)UyUL&)HZ;X9?+3l!mIEY@;l4Bu&Xe*bXBm z_9rqymaLnlu{0RQU>f%#B+qwcvFV=5-Jd@IY2N;Lo~Z1v`x@pO(RMJh@o1i=CNUd= zZ!nwQx2b@snPI{}#U_X~^xbNdTz4Q$?OPYz%Gm3~g#cCC{z59#kAWpsI!K+s+Q;nI zXwTzP2~Bu)pc_FnM312AjsA?QdLmOSrz+9G?H}Mg+$~-#s zhr`h<#@qfdwO$_9>maSrfcvl)hWmoH;uz6 zxbUMox2d$r9PTUwLe^?gRM}p`mo6%(gAojicy|D1LnYIGFEKn}g?5Zg;z^!Ip zvU#KiX?IvTKO)e#?rAT(krs_QHF~(d$<$QK>IwH#B4BHRNCT(~!%>1Z1@x^if$)k+ z-qdgitEq*XA>kR<znZsLH#)wi)X#|$Fx%!Fg~UFy_yu59-^C3 z9irA&*hoN|^H{y0HO{!tCy%PTBKGaHF5v67cvflWvzWEeZ4}ySRvmRB*w^hvT#D^- z)NYvdXJl0hVqEuzBXqvspHAoCccivdnU-T!B-D+tl*67+)rkWs6vD;=%>^-^os8NV zjTn)hTX@D9GH*4}g=11VXZD#0>PAb|(4>2u85{Du#tH{8Jg|l%5TjwkG`u=cDPcQ9 zi1mr>UUfzaVJmdQVrrCTTC7AoqbnA5UO{589C9(>jiWird zV5HeT&-95lk}>fGCgB# zTOLUY=P-x{>V(Z1O=Q0NK{)&?{bbrUW%4?*u0Hy z?yc@rdcckb;5?>&?@t6Q`0U=9Sz}_t744kWja&*nJ2F(+Qw@()$;oKLIy$W%`1t>H C2pt6g diff --git a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsimkernel.log b/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsimkernel.log deleted file mode 100644 index 4fde30d..0000000 --- a/Project.sim/sim_2/behav/xsim.dir/project_screentest_behav/xsimkernel.log +++ /dev/null @@ -1,7 +0,0 @@ -Running: xsim.dir/project_screentest_behav/xsimk.exe -simmode gui -wdb project_screentest_behav.wdb -simrunnum 0 -socket 62791 -Design successfully loaded -Design Loading Memory Usage: 17456 KB (Peak: 17456 KB) -Design Loading CPU Usage: 218 ms -Simulation completed -Simulation Memory Usage: 18080 KB (Peak: 18080 KB) -Simulation CPU Usage: 234 ms diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/@a@l@u.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/@a@l@u.sdb deleted file mode 100644 index 7fbc2e0c5d98d0aba6606b073ec6c65e8a988488..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2880 zcmZ`*OK%%h6h7A;yD*s?OkD1|ibYm&WcfNDZcg{Wc5ifpT&7T7J z7VxA0{<4~Pp8vV|v4U65fd2Q{AHVB&;AzR3zkFq{zjv>DeP>tiUHL43X{o%da{$M4 zUi2gw1pA{gD3-wA$C+qNT>gIOOGZ7D!7?=)*|3pK8`-vzEgRXfkt-Im6!`m}_PRY> zdAu}gwB%da(8|uN?2(oI!OH$aL4o)3qU2h*VHCV!{*2S=lAj6Rq=o(-pG-Cz=i z)5G9_>jxA6lDoFB1Ygj>#h)?&mf6I5#L)>w9#7s=(9fU!^cTDVaE=ckxm5-9dH6L4 z`aMiIPfQuKhPgW^RnGsk)|sO^oR&T2aieUxrElmWESj*Dm*sEvfT{hdbz3x`kNdoQ31NwQh-rXKty%lj; zhI&GS!;dPgtgfv$6I;KJ!Bq|>5xo!8ObUI)XtZx#@OMkpv9A)E0C8OsP6vNoQeR=cy24q+QL=$*hy z=?#A=e*I`FDH&Ci+5%1Sa`D*3u!#SM_&H1x7efj#EGDmGk{~w+6Yw7f zCGb^{1cs&F?S8k{&2}klUrmys%!jOcg$GR+ytFlz;$n>X8LwW zj%=skrlHkhtv1zTEkUeB<6<*fXI*iny5bTo!EM&+q*{W~zQj!P*^H&FE|He74!10L zgGY4X#SxLoG0aB|UJPShroqr+#8u~)gE5Z3q6$^7R&T&R_}#eZA9}8T;N|opes}&4 D(biQp diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/adder.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/adder.sdb deleted file mode 100644 index 903b1e7c7be0ec9d2dd58f2f6452c8669428e58a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmbuA&ubG=5Xa|b`@=-Hw5edNvb))|YpsVO2uekmAU#y29<(QKmI{i0fv2Pi%_>cM z@ego!tHg-VgLv>z`UiOT=EE#Dfb-zVmtW>0pchhM+oH8?1ZOx#{sd$#tpc6ap-uB|*7jl*WMK8po_qoSAY$Mtxt z)dnR==>2HOADLOrB+?Q7P=utZm|)ce7ff)`1TUN56%(8@z)~D-ZEn;y=fjVS1l>mePakG#$MOnH;+jM|PCN zoxPow?cIvPM%?WXAv}>Z!tGA%+WpT?v)*ehllN}8(`|I7?Kn)5&R)E2M{yY4u!E^{ z;PLR%YZ8D8Em1{gdP-@9rtbjo`0(R*xCl^^A!G;T0M5YY0^oHDnPD;sh){e?$tJBo zv^-4DldrYgnqq!B=RM1Or+bxoIma&$A9Y^V+q1kfJ-jmY*Og_{io@CVY}Bq6OK##@sBe2F(&PYnEu; zaFJQPg6jdkE>I>e!1F@YR3*oFR&-HOv@S(8=Av2+ii(*P6|IYkq+oiK@&P~fYx2wy zv#N9RnyN9hC@oJPR64o;RuV6DGI7qLuQe?esz pB8&0^+irJX$!%Stn{m{*>s5T7gP-)@J|69PcC_miuuT8`zX8w*ni2p2 diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/addsub.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/addsub.sdb deleted file mode 100644 index fbc6f4b38c382df52132be5ebd2d44db25381bcb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1619 zcmZ{l&ubGw6vy9W)22yP;!?0y*=&BaYCZIzAoTQ>5SooPJ^16|Wyzv!w*Cd4LL;;u z(p)@VVJqBv zwhc_ILf?al1msL>_j@vnpz3;o z=etg0y%O}$v#V~?Awsz8SM4>&{TPgXotm{-`9ZTc?Db~Vc@?;}?>h~5E%031`xd-? zc>$2OFMYBAus;MLF}FWC0P<4O%U$ zolu?A*%-Y@b7%aj<@)93*U8`l1*ho`04H^QA+^80V909G}ZUI9pDhik&ABJf{dp-Jz$uN>7|&KDRNFB#)~msis{8@a}4i|HcUri20o-M0!!jDVSZ77cSni>cxN;a zr;-y={8W7RVmLHJ4TP5E5Hj*hiaIE=(onrIc!HDu$7% z(9%>$N~VXg0^sM7C+`emTCub!DNCS6%ZeM4#`3aucqOE{1Sj`6HWz`&Txe-7B+usN zODI$3GTsGdMj4czpQ%D_(+wbmHVXzPrHtNg zXRA}+X#f+2=y^1>207CocLTX%dT50xb4~?kRB%oO=T-2$3SLmbSp`gYg8G_cI@EvI z8sBI-P|~iF?kVZMlD=2c4@$bLq;Hk`E!`2k2S_r)m=+-1Z9Avh95GqhGsdZsfm_dc`VL3-)W@u{_VN zILp54Sne19-K%jJr%Q(XCIgV$0@-rbzpj)a#R1@-!;e4VEP#%eNi;#Cgi|bG| zFb(I!jRD?_A`%D(zF+AeBjIo=QYELKwi??^R+a+p3AmaJodq1oOJl~efG;)DaBJSW zv=&ihEirFSMsaguIwraip)4=LsC*9aGnHpL-lFy5n52U|v2{A9u)+Typ>z?Ne~yna z14SX6AdgAj1mM*;gwZ9sd_~i8SORZE7+o@+tv#$=tX1zOwJ(Y-tF diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/comparator.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/comparator.sdb deleted file mode 100644 index 8e24032b1d60c36ca53ab06fcaa99e6e4b604036..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1413 zcmZ{k&ubGw6vy8rDY5ZaTPwz(o6V1dt%rIL1iidPLbKH*f_l-*l0n&Q{R=$RCp}HLJ<*EDE$Mxd-LQ~>VL4lnccP9@H()Yna`W=`!V}6bC1f|BLF_oz~7I{ z+1%dGrMm*=j{*MO`SA@e!*(He;(DX)wR_eLdj;E#hXv_)mbAJpr&+=b!0xmeZj9DO z{bvIZ+7rEZCq$@N_USl?6x9iZc2nVCje{3BxX8f;4qoJ7oq>f>(0?E;Ne2*@rV=d# zEFH6SlcifMeb3SlEZtz~Tb90I>1fQ>#?moMH(9zhNjr3AAq~7o-LX2{Zy&!YUElSj z(`_~U0A0H&dkzu8L$7HsJMxpC{5mVvYU4ZY?%1tf(|PSn+w+{RT=rdQyI=ixug<_F z)yDM908q3g3aePEU&%~;xDB|s^YIs)1IX)kRJ()$ScESbz$>IH5}7iduMJ9o2uHi* zbtb!Sxq7H&mb08y-cAnI*ZY&+N-DpO<2IuIlQN%51v{@2d!lN}M^vB{R|O`dh7fos zF#rf*;C(6-L>3q0YSf}^RsdgS=oA!HS*g9%6jS*C-X^P_ksWU)3!MHx%ae1gCCkvz zS!qR-U?SH3Yk;3ArpVwmQmB&Dpp5N!sXb{P){(BGXith(ku)(qJsXFP1Qnf?o@4Q# zshsj)>e$A?*lMZwXdc#ZfuiU+!pz_tUBLeUJl;6F diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/controller.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/controller.sdb deleted file mode 100644 index f37975698451e95275bf4b4f4a09fafd96fdd0c3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5202 zcma)=OK)366vyW}cW#rbIHV6iLMV0~&J!p|1xgWRLMb#2N_ezDDM%5aRa+2m1e90O zgvO$EvVaeO?NZVpq%L5?qRIzg&knI*i|8l7obx|(?1_63^&Iqxo75%qwIL2 z(9vnkw}w9M&$ES&`1)_Vk49!!w{h36{rZdBW3Hxg&w+z8XJ$@MPEQ?nGY3zm^HVc3 zbMt3r=MLTBa>i_S6&sgo3$=60D<%Soer~oUIgWKK)vJ;*(3SyAe^ziXD>#}J9M1}l zWd$d)fv{pTLVt#U-8sHy|7n)WxqxH<_dS-MZGkPU6`a@>)QfBmWX7szvXsw?4 zY?;w|W^_F>y3rn;rJgnD?$`eONfrB=x6@5<7`#_~Rzy;{DwzF66rua)cd%3AGwzFI3+_vVMUmke)`veLO(LC)+dj&_L%Y|Mqj6m!yix_(a`~ zo*TV2?m1O7(LJTcO{%gjDX1vwGwz!l&1MMigg~GaB4LI=2}Ebmq$nx`5Z*P86@wV> znSh}bEMW#iMOdBA0Jz_{pLBJ+y#j$!h=dseB@mqf$Up$$lfbJR3}So;I5rf-c>kps zlopdP7lV>wIs?T@0fdi=Kt!FSGJazuz#ym?j}FOGcs0WTh))*BMuHe0D~^o@F+NdJ z5Q;cB1rp|BP_k;Bfns9A>F!~gb3aHN zbyMCt+F*%C+uWe)#;Bu%Bk>?w!uml$At+hDqKzqKrViXp>Of}d*v+Jl1u*o1anO?BIL+PjwRWy^h*oIdxo>LjN9YW(-q zLO7KwHCjFwcd+O35AhNK5w%Opi|yr;#N}ZdQXV>q>CKk%|34Mwc;%RylT#4pp%&T-Ee(6<~IOijd9bOn8w2$ zJd-Jp2NdWern^!o@SXy#6qq#r9_NTD2SMpT3Fstl9-Jq5EQwQn>LS$YZW4=I!2JqcP# zFsU{FgrwshtYF!^aYO|;GJ>J}3=p?>Hdj{`G&`N?ysht`w^UDl0n-<%0&#V zi7;tw=+iLR?Gvpmk&*?iSuoA4{j{>zIm_Wkk?fm*1XmUUbY&%|@fHvJeS!8@7VpE` zJmxPS_V0+tHNriB_dS4a&|gZ;iPlElco@`q7j!gu;6+v~ppr-5#v(gJ6Bo!K)4LInc_1u{PJ+THi+j2ReAUKjtyw zG`~F%pqINFk9Ialh4wEuw+P-Z0a}*;v$fTq1RQ98DKH1#bD)(2v$Z9k1{`RAnJ@?5 zbD)(2W335V@>#%vPU4bo5xnO>D+k8fIN*F9aG;YImlv-G-gBUp17mGx!1*HJKqoOS zJ?6lB4zzM$tnCgs3jqf@iE&vn2i|j_l>@W&NG}E)=-~Sv-gBUp17q#&!K-l&9C#E1u+DS!~g&Q diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/datapath.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/datapath.sdb deleted file mode 100644 index a511eb24cfa92c7a8f6a97945ea1bba93d06bbc4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5702 zcmb7|&2Lmy7{lZ|cF(Fp5H117YP3dR{ z=|>b3mwIQ^6o?6l#)S*RAK>1dE4N1fgX{Y|?>*CV$Eyilz;mAWJ-_pwk9*I|JoP~# zyUUobjr`d^J}P8$fB!aoB{2K<82k4xzy55;&4Z5I-t!mcm*#I&F3rx_`3u)~U0kZX zQ(3Ca9kLl?9_}ti-!!U?o3&LFkR$&-Z0lm-WmlS^_ULKrK}>g=SxPhe)6Bs%b0Eze zN;AtTW=A8u`QAchL8c#14TW}usctjX-AZ-urMh=h-TSHTH>vJ-sqVL_Zljqxw^X;8 z>TadF_uAc;Wz8b_vsdMG+za>KZdNy|&FV^ixe?~WW@CMGt(GrNhxO&g*19-i?`Xp5jkTP=hzq-Y*r;GJ0u|2ZI<^|0PR-l1S!-cVOSSDjhE7*r9+9jb^w}Ywu7* zWVIeHZ?;Fe<4E;xd!$Dqxuxr~iwO92l@OSpT|D33qa3qV{>AD=WaHDV)oMGe53oxM zv+uSCj>Mx>+DGY^KyV7~=iZVGh`VcHZmwcqntchuJ6>>5f_a>ndb8HJJH1w4YtI;x zj6HZG%~fU>9Kv7nla4?ZlBouzPVwSd)4#zqiZTid^JtHypey?!So z7Ch7518(N$FVpQi?e-|L7k_}g?4fBd(e^8)J@+qrOdz|9vON1%s`XK@{4yEs`LF1> z^zD#0`=YGcjd|ItWM_nPpTz;cLI&=n1Wrnid}Bo_;a1(k;ZIJE8QYuLmeDB>&KttW zTv{*|jcd}_*}Q*1w*bxu;$(3Y16z?Mo?o*=HJ(~iRE=lV6iu9kN6!5JUoO~XO9Ffo zsZqD5hdh1S(-WRPM}3ujtxVZ??MY8h<$^4mn3#!<*#3Bu+Ckm2eX-?Yj&z^kbSXKJ zvWFSV1v}Wp;+SaK(=(nP^z>OzAJdhQ$}Y=jTv1uLpv%66MWe~3g<0@St})D_nn9-> z6ae0caJ!BDA|w6b;cW=7$Md);_H~J>x{lEd=dMP@g$kUzw~0YeGWJIY$D7j-MCBlA z145+`mmx_(Q1+@RwjfjvqBbB@dbnH$A-x_1?_;fm%0biygi0YUgOENCf>$@HJK}kG zYs2gJJiM@VFe*2g+8B&V2Xh%5Y`}xy-L4QS2T>aksvI}KWe_sxL2wPAx*^ZQ4WM8u z2UZ(kDy`0C5PZ~w;I;txn77-wD-=xSz-j|brMvAi2tMvXaHlAQ%0biygi0YUgOFhl zf@?=1R1TsxAXEx*8H9}J6oW%HD_OXl|+=h z7ovtrd;?JD?2OpcAX#H_#`d}gqjk*O`c0no8`#k;}E0*^;3fm(&Gg>DL zppJTMSE?@;0oFh}Fz1}tmpYOh`Ih^T4Ng&2=acaiHLKUY;*v5sc`zf6uCbE@Sk4)1 zq^bpAGg1I`CMT1L?0`#^yA?ORcEhS_j}mV?bukMn-AT&BSeFv(&<^9Qkvfx;Sj4(2 zt-4gT>S8mli#n5&J<*A2>=fb80}zeMa^R56>H@k$f+n-*M<+c!$^5xwKAOy-AB}V7 z)Sj!Kan!}ksSa{0DKT+VCjL?qk0wF%qf^{a>g_YDAwA9-I_&mZLt`9?I>SL;O%8%4 z2caLG<{YR!SLdKE=0K%$pnQ6J4zDHgXc9y}njoIqa}}>H@KpO*IHi0Vc1!en5|1W9 z^rJJxQ+uxB)dikPKV?!rzKy?}#G^?N{pcLeAT{?wRqG6DQXda4b$oE~6lm{L~TF@IA@MO9YF?Sk&d|f~SiCKT`<@$ZOk3%KXN9E!?QPZ&ZBW zK97y&vRzLu8=553m#-CgiBfaPRJE2&la6x9sJ$iA*yJMvT1%#Y6WnL&P8R%rvS2h> zF#TwT<59C(#pHl!GKYRN?CB}y-$>@8$sGF8aZjIR z{!%g@P3F*#PVhmd_FR3C)vZYFR2^I@%Ez$3WgZ89Kh$fTGCT3CyhQ>XL%%#0q;(!W tf^1iz7<6{`l*+w*NBRc_hmIaQK0IRnlwXKo zjUD}*yJNt_X~3g{AK&m2?2X38=F3aXrAFz7S;eLDO1#%z-|D~GXt&N`1mG~9^|pI! zy^W`vU`Qdl9}eUovuMlhs*LBNTGi5O`8%m==3=8_y>o1{*|e7J z1*dMC^?m2#+cDrnhJ2C%sM{Q$LSiS!l_I3r1N?jN`4>!qF@l##be3>*XRQZ_@ZvEg zC!)d=%%5$pGk+f9N#esz+gz=c2UEr=G_Ya8UWi#H#CREI z*%0F`nB@S!Qm!$Cw}Y09^SDo85XeiAd5hv=;tGcVZytj}V0n3&TxGC`N)?n|N~tZR zl$d@gmKA;3HL5ku9T6Y~cs_7hgOh=W_jx4lD_So@UNP{fEl=zpf@vNDXh$$2m?jN9 zE?z*g#r6t-^be^57(cd>$^TU8P)05!8xBVCi^(GpTYZnJpR}fL<+!!jUQx9cM`|i7 z!L4U#66NxuN?(v6l$|d~D{+hvSA!}|j_O>YY+8{!^FuU!S2BcqY8|mL6|AE|#gHru aMl7Al=HL&#K}Ncr?3wOXHiF~yhWQ5~pJ>AX diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/dmem.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/dmem.sdb deleted file mode 100644 index 7815431b56a4682fc2fcfd765de509517e401b09..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1874 zcmbW2&rcIk5Xa}W{aB&~ub%E5#2P#{9Y6N$!CODn9g zg@gV9?k<{AW5U6c2f`oV-J2(`M*f3!-n_oDY2L{m%Dm6aeCNk|p(D@jWG}!+pb!3j zZ6{MFKWCN%j12&u9R2u?SK+WfHF$e&aeZ;ky_+xK;@oO#A-_-<#{|G}pA+o|rC_VN z1A;Z^`?w<Kf;khMG{I>ToHD`7COBb${ei!=Qgn+ndTTVv}vRVM*77__l@+kk$y7LAT-Wqq@j^EjI`NF@6*mA`rs11j;23Y^J*2V9Qc+W z2KBvK)pBNiuN*Y$L(-^= zGvLtsLiv6HsL%{ml#CxKL2@1f{yqBo3oZb3<2CXRkw5unrwoYj_9f*FC7BNs?=CJQ zy>IDy7jun}tG8GvxF+W)IjvGys(4{FIGCj&JJZJ~V!&N@Ng!X;67OUB;}nqOM1aa; zYH2=2BLO7v9yKhzfPHPNM~>|3qjPGCQO-f5fAJ1_rH(uGqO4>ZPS zRCr?Z)#jDWwHIqoH&!;52}GFF0a1?k+LDuZ&L+0+oR8|x*%Ww|-U{BL$TY7DAO(0) zALYH^Ieo$>G&vXJ*eFe>0dm^hz4EXf*+{-)r^P3=&ACje(J4(uf+u92kS{kvQ-;Iu zh@*H&w$$yZRLTS=r`li=WvIcMR3@hyk;CL$M{b3Al;v`4$Ea$MX{$lDV-0p@s0I~v ibyd}%N^LbLy3)3j$-*D{we0ct9LwKz5;#J?rvCsky;N$5EMmtMS7@0JbCaY7KIjnfv2Pi%_7<4 z;=!XcTV;(1J$Uj^`UAXs^W;_PKZx(mX4}p329llm=FPmB<*~IluDt;85vbvxx2~N( z{k8GTg3Ffx{~iDQfp_4jlrKNpYVWmoH=k@j#`f0hqV{{e&R`I|TgDu~Nzt1g>W<#; z55O`T(f>(i4YJw2P{k`+nH6T6ri7~!u1UBq;Y|r|NqAkrl2-e#b~bnD{Qj1WQ)>8}s$)_@`q73Sah@>hQU}LI7<8Ko^zwR1Qjk~`q7h; zZBSOW^J=A8s=_0{)8lWyVFjRocPXe&K~@D2;o?hLUa^f{Wvyj(nbaQjt69IsK8$X& zFQ#@`APmt;h~8!K5dz8O&^z%Eb;J4 vm6CFnIMPc+*5{| diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/glbl.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/glbl.sdb deleted file mode 100644 index 3741efe7eeed7108e956bbcb8b11839af25d181b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4096 zcmaKv&reiW6vywInJ5qkXB?10gc$||6e!M&KR})KQp>{rz$R@MO&3itHIa1HWz#f> zRB0+2gGtr4#F?=TrD;MmX($WhAK<>buDaJ{7foKz`QCdUhvDK&nEAf%`Mh)QJ#*(= z=D_E8v>u6o@yuluXl{mtt>^18ox-JiW~t?pf$*R6Zq zRj<3&=w76ng>>l)v^&;XZ_MBLHn}$U-Qs+*P`SBKP10X${p#ZNrCKiO-j}Y;tu35M zR_nJGZ?0Zl$|P%x_4?B7+Vy0$wpblc4y5;}b=p&!Ql^xmqq11?;;fiZ^$aGi?)ha)!pT?{#hPX>PH9&p8SJ58(oUva=}eUmHclxQ z5$$O|k&lSBjGyR@h~i;CQ6Qof72n)=vZ?Rh+5En7BK?G$VnE$WOW~NNPg&_NIF2#6?bma4Uk*01tDFK zz#*pra#OY-qz&qKX{yGUbSjR$Wgf5Xg89;`;$YAscGMw7Vz;b5>xSRoG z*oO>8kLon}<0b>0cvjMqXbtwC@NRU27_TXzPY^Q!Tp^pf~F8E;1z*GZyOYj!?^$&xaI;Fxmy8Z$UZ5u xLx&?`NpQrH-V`)+m_wHH!iV0L1&*Dg3SvDurC!i)`@PlM`6T_t^a1+q|35`BDs%t< diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/imem.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/imem.sdb deleted file mode 100644 index 8bed1961bc8f7b5da8a1fecb349b6c4676b13ab2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1442 zcmbW1&ubGw6vyA}M*0IcG*K+5WLI0Htza*Lq6jZ&im5gV+Jh&Hp~Z;x6i_@hX{ZZk zlY{;N&TN$!5qj|Cq4W>%?#+|;_CJVk-el7d=HwoB-+bP8zVqJBFxi*BI}Y#(=)u2l zeK&RVdvR63>63s*`@eqTRoI(MrEeEXFG`jCz2Y*K3LB}F;!5ExIsgX~L2p-Wse0ob z2=<`&!H66+bGKt@W?USZ!8R9caK;AbY;eH_=WXz^4bEENq>}aZa=uKh_gmA2PD(3{ zt+ZpMT`T=+rQfV{*Gj)w>1Qidv9&iVjjgm}rQK2bfc{yJ9$cl<(Us|D*lc(;B|RCd zs7-NjONKSoiHHzR$F*=LQofgbqwTG3;|8f)VY5?^Sn- zgF|B>L=NzyLuzfJ8!#puB_;;znm95hiGoBz_<%piM7a2vRNRvBG2LxYPyK@azg-~$ zWFySb8q>N|z&nEw#+T??Sm1^Zx<>}`7W`#fxXA(ir{NcWx z-UbeFunjyM5(%89y~CTNJjXTY{;}8}vN7|Xk8_D;hz2;HP~mW$taiwg%CgPK@kX+W hcaI~p2WBeGgj@i^$@y?dx9^y22cB#N4rb|g{s)T9M0WrH diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/logical.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/logical.sdb deleted file mode 100644 index d35d77ec559f27e8646b58a60f800bbbc1c4d3a8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1666 zcmZvd&uSA<6voe;jKoGKq-iy=Xl9a%XkAo9P!!<=>7pu8P;t?Xv?wS(fvcnqO@vOf z@d2EfDlsB-Aue2$K7f07uG~s}gLv*abH@ z1I0G+C-Dn}Q z(!@%KRywlMPgeTbO82bvqm_QJ(m1isW~GUh4y|-FO&`$C#`NG*x*elpdUw1|9C=X^ zhl8E27u=5eop=}$AzVy4{p~RJJ@Yr*YLB`%DSNlSGwg(OUffTTa1d{MQQVJico$|X z@K9f1dX)gE)H2o7%-mG^jBemQ;L*XCUvL)S7+*x4qH2Iu_~rm!r$bduN`MF_*2%7v z`%lZ$^bF-X>(8d~g0{G->6}<7Y^Lo%0NJAyJ~kLYsT!_Pi=cA2l=cDm$SC>%@0$Q; zGL@$zxM;$0jVtLHK#H=IlFIP|z;|?mxqxn73a~N-1nYiTiHph5?Wy{Ni5^uDvKD`qX_u-2_?4QZ_1q$ZbGiaO6t1Qr&&zz=K>FV$IlL66Sem7#^im|L zj$|R)ami{tk$T$fPg4DfV*z{DctSmjsLY$gv3Rp~T=EicNv#-fNfmF=;_YYNqB3s| zQ*YLeOB)eyNv#@hNfmF=;vHn(qB3s|Q*YLeo73J`XhcaprM>mU5?0JM<)oAn9pHSH nruQwhM&Fg*I7f=NLbqGFeo$|~ANqC4M}xqNb^`~?^y~8v?UH6_ diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/mem@i@o.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/mem@i@o.sdb deleted file mode 100644 index 0119c04114448be8fddb707eb68a4dff645bcfa1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3686 zcmaJ^O>Y}j6n!stlqPNZk%Uh{Jht;yr)gLqDuEEsAgi%U5+rDmSRgA?kmIsohs4T> zgW{?wk@%1hD|=j^2||hx8$hc11K6`;#lHOq!@cLujNiCV%py7W-22WsZ~SJQOns8e zoKmW*=raF)p37t(|Gj!$tC=&(Jbv)kALeCsGLb#|R%N5Pv0c7at(lF=$D=RK9CQwz zHzP_t9QVR|K`YqZ+gBPQ^z-4+ykRcW?)PLxVQ2+p@-DLIB9~lb$wgjtk;^V}&OuHD zz1@%evQK-Dw#GpxdQP_QWbZrKV<&s$WPf(D_nhpHPWA^U8}yxfbFzIWd*8_(53`$e z&qBJ)4LTjS8iyy}u2)w=&{H~w9(m2Xx4 zr0LD-`u1A=`>tPYHtSpd)o#PDHh%B^^xc&Dln$8T6RZ?Dt&$~ka!iS(?ujyw9{lo; zdO@jabA<+`X(02({*E$6-TsUgp3i`vA$}}4dcAkJcfh?nR_`h5jR);^%h`RJx@XJH za;@5|_%}^stFk^U{tS&ww)!oS=md$#*OtYzG;tahLFafcXb&qqNBwh&!eHhrOCv`! zyajqjX}wZAL%q|a+}~*>{kGNjXsv9~wK`ATLH>AR*IHko3F{`%XIFvOC$6kMWu~JQ ziqDcM##J~w=#2O`twsrr>!hm2J^rBiD4-86EL#17qkjqg8(7cnPJb^r!g_F_-RE;M z+|OhE`{Lhe-`xpXcO*EtaL(!%9Q~E79)%s>7)AT!Jqw<<@S=tD7G8?(q8>9PTF6hK zQd-X{^UVl7sm$>c$Xw3i_{me|UFrgnhyhVP>MFc1o5qg|N1dVCh=ef8XE!@7lzoRua zDFY{2Ui!f({a|a5R-c-5X7eL!Z z2zC*axS$jlKyd+#U6xWV{N9UP0Bsi`*hNs{f>K-n#RV{SxtMa{*JI=Y7&qzOmX_lJ z_m*Fj(IlXqM+nX%2zmIJp-de!85lZdASEkcob^&F0l$o+1b}t|Avl4cCxIN};Tu+|INHbBT4} z!+9tJTdXL{gnXp{D+pdo3v!92;KLFGfh|@xBNHMBtjw#FkpJ?Djw_)26~w20RR-`i pFFOb10sgy4>zC-q*lfY}j6n$e)S~p}u+J+(m#N#+gousWnLIqW-^sFib-{{7)gQo~9V_C#QiG-bX12Pk)urtB#1ABeEssbzr{yFEXvQZil7&toOFeh z;`?tQZ=p#1JHXGxK^R1NWe$|WP8;bTv;+qeqBuP5=M&~Zx!@hi{pNl?>0OXY-tKP0 zBrbsH__5y$;(j!_I|v5(^ve)2*XT6Bpy??KeHM!lFmn=w-4QN{uMJlzy~+P6{(qGB z(Czfu_{7iWTm!|rZDQ@t!{)J3Xf==TnS_fx*PcnU_q^s&UU(lmx52HU3ky)&4{%ga zS;QX$F0^-HZ{$DQnv+-4Xi;b%%9?7(szOOcexN}O)J6@SHx9=ODjU{v?8o!6=97lLO5G^mU!V=nl3y`by}th_Lgp1!U=C$!qdBs z=Ff69OFMttX)&u=%(?qc3t6Le62dCUO;|;|*P&r83;AOK?tbL(PDie+ad?{xc^_0l zU_u9kX)FgJKItj~f-K7w@^@v7cR!mDQYK8J2_ZI75oA))MuN`-8n0-^_&%^PA!W=o z8WUn;6+y;T%>>^OHX)=;m_`#qY@#B_WK}c4r-n@kDHEpAgb5Ah7cC$9ldEpb5 zdJ$Bjux2*5Zrr@3+SD;VIcYFKy<;Y@V?sO)PDnehPrdFy6uwg6HGOMly=JR*=yeVb zcW~0yCqiNv-CrC=u=Hi8(Z;tQ7ltNBG`}PS-HdfD>r;p;EtlQON_BNjyoR6l7sHY3 Ngr{ynUV)$f{{qf%m|g$? diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/project_screentest.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/project_screentest.sdb deleted file mode 100644 index 48494c973d32121dff14c7e16ee21a54a33fb2c1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21974 zcmeHPX_r;Ub$ze95zx>eAt&+5iVZ?YMw5kF5|e~=TN1b%0%%SwJ29bU8DTk*5<6Bv z5u4iqrVfC%^wr=U!y0NFHw|h&mwY%s0n|e3@ZP8MzotU{`^3Yh{*ucz> z&C2L_W%O`mbfPkPq%wN6GJ2>o`kTt=e^*Aw#w)L_GCE!vJzN=`NTVBN&L-tg@ng9= zCYo~^&BJ~BM@CnTHyh)ljmB7ibJci%W7o*o{Ej1y(T;_y_6;>Vn*C$VIWFw}9U1JK z7+TrUl(Kz?`$tai7;B7=kL(}Y)zKVlG?#arecBndr{qp6^uDgu#PUM%)>y^OD-@v~ zs}+BG{r7*Y{avlC_@$ODlZr)B(YkZEUquFgAb+iCL;Ng>PaAJE8)KU3H<`tfY3bgK zf?rAQ)K(OnqXlE*&C#JWbFO4&^sZgoRjlt>pC-?fPv*twywA5mNapZB&Ln-IXv8#W;!KPUz$cQmFUa`;&u99 zU!yb4F4gS9sj|x?+b*li7P*SsHgu)M%O%}0HYmEdirt*?K{K^0lo778g0WH<)A#NB z#^~;$W~zUgquIYd)hfzN-;p%arD9ue?b(thuGGYao-J3U ziK|Mj!A$FF$+Yxlui5HSDVxD=NzBw4)LS6C{vOHB=vlj|ySHmiciO~SNlxdzGnnSq zgmy(H>KQ~C`W zJ(Naol&GJbfi!!QWZQc;ZP?VU@36?|R9PNwmUQd*;ls5;M|Y_~KNpT|g4?<`7nst1 zbGL4n#B?kKtAr|RhsoV7xpub{Sr|b~Q-0((`yCH9(PdKOO zl8~48OKLh6g}iJ)a;;1A_@KmR`Gq0R4{6>n4S9a2fOO9$vmy0~L zzf>HoP&^>HHo0@MyYXPDSJC}_lA7h0jl9eICExBAj$HWxNzW{oj=cE6kZtDKha@{4 z%SWDj*ySej+#`~kCQC@3c~q;gh~&A)BzKA~Bl$%fjs|f z$YmYCH^Jd3d#zi^^{$JFW9uot@uISB_&^C(F(8W5~iU;Bs?pGdC~GyNqbIcr-!$qE>2qss0Da>jz+E@q49qS9SV*N#lb8PeIlC9|&iTU(zaFJ`_raTh=O@ z{3tM*)ix)EF^kJvrNYN9KT%!(iR5SMGFMsssaA8TtIU5U`F1RKl^6KAq^BRPmi|I> zhpKa5O3u&kKsDzp;hbugzqEl`tzO(HtDx?oYQ>ojO%|(bwVG_I@UJ83j*288lDNf+ zc)GtL4~RU!;fkob+aeW+)N=b35q0lHA`pquW-OxXPK1bm$dBAp2! zR<>=~yn20E!0nrxlZ$*Ikro2wh2{3nRd)JHBCQ0f^P zNSD{q0}L*oK&)YA`HjAuNXvn~rrh5w z177APFGHjZER$CL>H8{dP{M-6#P&{^n4f(D;Q0F8<`(zh9|_xVLUm%tzJIj zY=ga;0!$?3TluLmgb`i?|AJp&^TsIKy4LeLr z)3Y(sfP(8_?0UfSfXI`Nw5YX%o&*#;yCT|so(4pkUx=C!_kPa>A~$?$*-LrAQ-Mfz zpHPwLgPsW#F=P9OJQIk_@>9urKkRuxhBpy8KjL{nLw-vmeh3<@n!{@g2$d&Sd=WZhTnpwaMZ?lJPH+@h_9{ zf4cDz*8eIQ-<6F2D;aNd;|bPpPsVpA<9m|vy>5Jz^*fSrUozH*G0a!V*XKJs9!$nV z$#|z5|BimUlJRgdZY1N~$#_pP-s{G{r~bZVJd%t@lkxs!d>|PgOvd-gxL(LNdQKcu z!{YlM=}m^%WO2KaS};wFEWi;CD-2Ip*YHSMT;mk#(`vGY$+s#@s7=Roe%d<|sUlG1 z%*YvV&Uoq^J?Fv+wAh>>WB!acCsK2u$efXdFwb~uo<2OoAI#XFA>)7C`xB`@P~^|Z znefke>K{Lm!yUZXogw4?tam3;cc93fkwtLNc_I=)oqEVB~S0ytis%{)HrKu<;pDwdPiy8J40svp7--dq-_I5^T){9Xd>h3 z{MmgByupgS88Y54cyA*028z5H`3}4@o_a@{Bbb90n=@q0U-afgY7P{cGqM=w8Bfjq zjtj=1#KsI6OdH1LXVfJhqviW*?#JT#E;w1IrXi4MSxJ7B2nK;N;iq67bqk66$#XmQ63 zndy4lPZyCk3lvQkBNxLwA7a9OH zZh#@vzz4noB5eRDYJiayXdvTh1L>(9{K1U<88ZGKdVeDI2a5a|SqcA)r~b)NAnZYm z?HMxmA9;HswFipq8MzGh8PC{9vkziy&ycY{>FtTs9w@SBhlZKBq zP--oVw9X4!fG#OBcBaF)fb#AZoFo)H*lPS{Aebtri2S1w<_tlv?LSTFZkLpw(hPwSYLWEGV_k zkF-_${QGWkCzjYB8W%K-6MEsdZtb zb$QSNv|0?P77(>qP--oSw5|wRfL4nE)dH&Hre;B@by1{M1T8?T#eix7QHuqoR%fKu z6|?|dQr;jJJB&BTm4OK8l0v-1VGyqhM8GnM#h3x@4v5{cpzQ9_NbBmL1?ZAuy3}Eq zt`0;%Ys!F{0@0KOrRlOrt2<}`S}g`t3#c706$?tO<&joT&;qnt45$`RE3{ZpYORR0 z)&?y=mz2p^=`bc^T_6Iwq!2H27{m>M2Yv0pw(kQ^?+ufKNdJWJc(Mp zn}Qyo)nh>QfT+g;r-z41r?*m=H&aiaL;+j(kBkesUN7(s)8aHq+(OPG4`t5k{+GwU z1??6sYLWjsp?2a{(D@^2VEKCBlftjz`j`drr-leTIo}XnRy)`lIv`9t5c#r$^M(Gi zQna!rT5*Q%DQbn@MR(CaTLYZAv%j&oe~)~@ zS{QOKVTXcsX&afk-vG4tF9Yfd#E)_oV8TR5&V=Ll>-L}rX!RISJs|3_!0C0TdUpps zK&!`q>H$%Y1x~Lg)w?I?0hW5075$YEH^$`L8_0mxoB=flqB#qk`MOkZN6-VbdJL!@ z5cOE#^kml-_tzKn0A2I?60c9m{ecW<%^6U0AU@=>z?sW$hQ{UtK@ZTHZ%oO9fedKP z8BlW|UO5Y#xoo9l^P!*zX!RISJs|3_!0BC^>g^1AfL4zI)dQj)3!L6{sot)j2Wa&e zP(2_{HVd4dydC4~9S(YcR*wPI17d$HaC&lN5bHI99-!4@K=pvA#{#D(U#f`pb_YE` ztH*%q0a1?yPVc5vZ%@zzw0aDv9uW0d;Pn0>)!Q5N0IePast3f!U=}#Ne@ylE1wBBk z$AIbqQI7>q?+2;gNYDc;^{_16oRUWa8PJk{n3DGgGNA300qqosowC4n`lD3uK+prU z=KqwE4+b)zHD^H0foRSGXa3Kr-hDw2(CRUudO+NPu)yj4IMus9=mAA-Prod1 zdYe+c2ZA1;ot%G3$qxoHpe1ik$qxlGpe6UF0M1F8qa9R&-V-quv_*Fg`^>M@{tK-6P_ z)BBm#neF^90WUK~oBz)^YOz(cej6?Zuzcb0uKT%OvD-H)`B)$W zx}-h|;tSoD{B$4#S~9-vZOP9BGN2{jk+yL>kO3|E&XoLYAOl)5zC>=@crK6uEg9c1 zx8&yo8PJk{k+$(dAOl)5zMF29UkqeGOU4)1E%~KD2DD^+tKE`c4rD+}#*c?A`ISHh zv}AlA-jZJpWI#*Cm*p+_wLk{6WPFp}l3x#GKugBg>@E3?KnApAdPXsccCF2YF zmi%TQ16uNq^yPjlkO3{ZFD1Vn$bgpIpOW7RWI#(ENXhR8GN2_7rsO{aGN2_7rR4Vl z8PJmPy9RdZ-VbCzOWu_#e-OxkmOPx2KMZ6*OKzm(j{+Icl6R-%lYtCq$@t|5dx0MZ zGN2{nHzO?hlRyTvO{{yTkfgb<> diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/register_file.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/register_file.sdb deleted file mode 100644 index ede8a8a6f3d21b09b9d8e266bb7a7b5981717445..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2264 zcmaJ@OKTHR6h4!r*w$8JD_CF6B;uG~uf2jh8U!n9tUMe^P6p7WjWo^yw!?{X~M2~Y!i@aK(K zII{G8#|aD8^#J}}{Pr1l!MpCrngf|!DOXHSIOCYhTwG&b5@H?mD5`_jn1HnOjb>>DHd)W|+Jvd@fcqiH;wk!>2;mqzyWN_L8R=Fx*^ z=yqHxEI7H$1iDVCkU8kMwX9pH6-sV?dNNb1W5LO~#XK=YFO;&*;k^64=6~nM)0Z+| zD0<47EN1hMYa~+2PrHX}1=lHjs=au$TCOwljRv5KJE$~s%dai%(sg_XxU~582W$rD zkz3hAfgSZ`WiARh03SmjY_HGu2iY~mMzZ*l@rn}7(nuaSpn82z1) zdyeV50eUSZblHt^Ia;2r?lkTu{=3VY)n=u!XJu|5E6+A(`;EK(QkS>=x(@=bWH<4z z-Sw0knsB+n<&*SrC}Lrn4$s|#4#nIvuoZKsz=qZn!Xp&R8h~AGw2H=oNq_0tA}B$s zA<&ngZ!3(){gSk@2JsXrfRIv~$yk6C6HMAlS`pkz0z5EcL-7RQ!w?BD$wL7=<|Ec+ z&q}jrMQzWr^ajPVVD&7JlIgL+$)Vvu1s;x85JVADL20g_s9iypUQ8+o)(QeypAR+? z(B}cFz98BceWh7nQCnY@Eq%eNFOb!_MWbVYAE-rEhjdvdB3;s9Uf=;}9VPxbT|I{c z{WV?7N}(v>TCzDHLq@~p4F_4VQF z_g&pRgSqurj~_ZRnS5uGewIJ_wd04nkN)_vUzkpA-<&Kz`u-pN{_@Gm_vYP=FMRpz z>t|ov{_2^RmS?~G%F$oHu=DK;-+FUr_fyM_$>aw|7gyiiJHPkFr8g&26-xj7K{IEW zV}~y8?=s^=Gec$W9t++x7Cbc;d~huIz*z91vEa#(;CyfQjbAypeJ-89Pc0wle0Mau zKN@{+H2T44^!?H3cSob|jz)ibH2Pbk(Y^iAa~qBBk4E1cjegKZe%{5Hmv*;yFYN8!*oXW7 z|Mtc6A6$BNYd1~1{N9DPZ`<12+24QroxN{v?e6XDKC^Y-Eq^-sPP)^U`F5U6(k>rM z`_8f9o=sa)#`h-655NDre=@mqGQD^CbV~ZOl(g~UFXw3&elh*yE1kkWO5xew{_d4a z?Up}JTaKK2>80)E*Ux;t-S`)2;|=G&F}CS1)27Ys*SFKmGv~$<{wgJGo!>uyacBS1 z-utI7?_6%H|8>gfzIyJ=H`)zmH z>)+?CC)REKhqQH`T;bJp7O$P%ZYO`3HgD}+Om%e@Z|&^086V}0;XFq&{xM}7zI^%i zD{o!eZD;>e+SdKX$d3OxZ}`oT4gZoh%#vwcc&DxPuikS0{dUX0p#+#jdLqK`%hO|xq|ya}rz5L?2?XSGp z9>o8ojfc5+F1FkLci6U4^lI8RyKv>*-EX(ECR1&kXJL6E= z(w%wj?8>$4(uV1G+w@tEzS~A`NYQe2-e|WTPFv^aUOxNsi&$bP2NyGU21>?f`yjFkxF=^`pIL8YeC6zPVWwB*|?l0j5{QdcPL_qOz;j*gi6`rTuB%!5y+K9 zRAPcF-4iNhCv+vDE9FB!8Pc*ZI!zdNNFeV})Tw_=@DA?{m9mezk}&M>zL1uU)oH@G zLjrk+BF>Nr-r@bBQZ`{%62?jdawQR!nBYpMLZxijt|W|=2;@p4Dlx&89tf4Px4V)s zRw9rqiMX6haHR)BrMw2Xk}y^xkSmEeCMLMjL!nY$D_lt!D-p<*L{wseD?J=4<(0*i zgs~EVTuDSFCb-fgp;BIoTuB%!5y+K9RAPcFJsK+Iwab-+u@Zq?Ni@|B%mi0@EL6&C zohu1rB?7sUh)PUwrN=|1yf(U$(3P_GJ`>XNO6fFVNP8lr<#p3(LZ``M3FPAvaa>IB zaeX#a%ImBv3BwMb3~71Yb(%2lkU-v{h#fM)JN#Uzlox7O62?jdawXAR&0&HoJryeD zMctKzu@Zq?Npwh+nBYoJhf4Vgz?Foql$-NRNXricP7{W-&xf@9T;MceNP9M<<%a~P z2}9Z!LRx-eaGKC*YBhoFbe1B{k_kS`=R&3YjNwYcScyQcB%%@%T((mtK; z+R0=iO_@%YFQlBg(yN^14^=w-LwP5c52w6M@^m)v@~#kmpy%lw%X@mhdRO4%ffs@A z4tyf;J%LXKzBllFf$tA|D)0k=9}N6Z;D-Z068O=;j|F}_@Mi))5%{x#pA7uD$ueH7 zpY1Q!qVrY1x(u-e!78?zH_daelQUL-+NGNz%$v=oo4I-jwJBp|4jP*s=r9-g2&!W^ zX#I_*emkDd^37kBZm--%b46CS=lK&!Vk<}jmL&Rc?y`8grS^-jJ{9=sz|RE!eBfsT ze9QQz+047n~=K{CeDV zH}zc^t>5l%-X73OV6)9gfxHhocVppS24iM0W(H$sFlGj0W-w+3 zV`eaB24iM0W(H$sFlGj0W-w+3V`eaB24iM0W(H$sFlGj0W-w+3+srgb&Y$lX@j@NT zQi-wW7N1_M#!{rp3zDPOEVYgQYf~5NHwE8PmD<`k;Nh*T)~#adS|I_>k1mhqF4wR)^T?fKdmG zI$+cRqYfB#z^DU89Wd&EQ3s4VVAKJl4j6U7r~^hFFzSF&2aGyk)B&Ro71QBOe&~z{m$iJ}~lukq?Y~VB`ZM9~k+- z$OlF~F!F(s4~%?ZfTW3=PK6U*;m5+R#Q*7F}gjYfCS>)uT<%8QH4h35-9=yQ&{JFmT7l_P&}I ztWEPa`bAnoRVbMG==cCrqQTuBWFkf;eK^ZRY=aZq;9v|6#^7KK4#wbM3=YQNUC%!=j*N1cG#5Opw4GzZOUAi+|(Iv zCUQm%u9R$d6CU`KQyZN3&>q?9Q8X`6-rZ_mZE&Q0vIB1H)qB!uRo5>VoN{WnQYaYQ z==cCrqQU)mkcs%PWYUMTOvILn*fN2U35-l&WC9}-7@5Gx1V$z>GJ%l^j7(r;0wWU` znZU>dMkX*afsqM}OkiXJBNG^zz{mu)Of;}e#Eb56`ix8*o1E0@R>xNI!hPP)Tgho| zV)j4COXJyWLr)1|ci}erM5V`e7rv8StJX;!dpL&XJHgtyK^4kr$Mx&IQrasQUTUtf zoe#-B5hNi-5`8#JLhLnP>@^=|&4*d@Vb*+@H6LcphgtJs)_j;XA7;&mhc(~hvgUg{ ztoaIf%@@ZtUxBa&lbml-8n$L!)_8BP$Kj=Bw0^t4xaMm@T=Nx(YrX?v&A%gbPJBdW z)`xTF#O|EforCEdOy^)a2h%y2&cSpJrgJczgXtVRbk5__Igf|VDd5hDW9JkISCZtR zb8E(>Q{G;WL+3{8xBHvtk8-|MG$D3Qfz39fdL8}A&^a-k>%+NoVlP8tFGDcP5X>?J zvkbv3Lomw_%rXSC48bfzFv}3kG6b^>!7M{C%Mi>m1hWjmEJHBM5X>?Jvkbv3Lomw_ z%rXRf8PdSZkT^Yo#HGWrjmi1EFd%WqNQ<#xrfYMWbwPRUyxHuQ@aGy%DfitcDm}Kl z`Z0}iqmOCcQ@&%<&vj0IY)@e|QLXx!OQEp7dR*^nbV`#V4YMYFD(FLeRL<6ivp&Su zhuHdn(Fcq^VDtf_4;X#G=mSO{F#3Se2aG;o^Z}y}7=6I#14bV(`hd{~j6PuW0izEX zeZc4gwmvklKE#V|nXZ2N;dXE=z0JJS#;W%N>I`OE=8e^AW8ogry!tg}P3hI$H+QHH zVKpy2rhTHrY+hd0&JB-c$_XmbxINu=9DcFm{QA;UA3gk3(1Um`J@nzM2eI`awjN;g z0HX&OJ;3MzMh`H0fYAet9$@qUqX!s0z~})+4={Rw(F2SgVDtc^2N*rT=mAC#FnWNk z2Mw$T@uK@_-LBSyW9jqdpa;jQ4}#Pg^x&N88|B(qxFM8N>tRjl>ONmvy7_KN`Qg`= z*O-^jCH-Iv&Kuk3YF^NT<^?@y+@5Yb4tj8YeH>8_cLqI(k4X=GIO{=dJ&3Ib7(Kw~ z0Y(ondVtXbj2>Y00HX&OJ;3MzMh`H0fYAet9$@qUqX!s0z~})+4={Rw(F2SgVCz8x z>p{HeUetZy8^N(7lkodV$Ex29QfJTuIipV{o?hKso?f1jG_GaglyYmVEz{Vz^Hz^G z{C;w6PV=Wg*Vt$ECqv2$vhcV*y&wZ^Z^uDK-d>-3l*OlmEX13VMIX+x5L*^v%K}Cg zFtUJ=1&l0UWC0@!7+Ju`0!9`vvVf5Vj4WVe0V4|-S-{8wMiwx#fRP1^EMQ~-BMaEF z(7>_~FS@TqSvYoN^27Yc6L==E(OaH!gF3F4+C1ruPOty!g_P>=sM$&VCn}C{DhE97 z`gMZ}>(8=L%yrX8|Ncd@HoA0e!=rSCEq!IrZTzC$Yb; zi2Z#9=KBiF_Z67$D=^GQvKbJ14dg zifx22MhIhsFh&StgfK=3V}vk92xEjWMhIhsFh&StgfK=3V}vk92xEjWMhIhsFh&St zgfK=3V}!7cPy-vGxcQdt*v90@qV%oAQl#%Cb%vYCIm)PV#($&k>E+(ixPCtP|JcJq z-|p&zp5?y=#)f>*)%TQZ#70hTq<(lzP_3}+`;_Xl4vz_I^6TS|j|q#Q4)KjrM<34W z5L+E$s{=+IFzSF&2aGyk)B&Ro7VQ!Pj5=V{0izBWb-<_tMjbHffKdmG zI$+cRqYl{W(7@^tFS^_5Iya1CljV`$DNQLcC5F7v*gj{ZR(10!FMNH}xR%9e$|4If z|AgH)_rB((yk(nLKMs&K`Z%z*LaNG7wfEIM1!bsKS)}z>FbcKTtQ{X#9sHsUiV?veVBD0X5EKb_hHt3m~|g!-G^ECVb*gyyhg7SizHLjh_DeWO0 ztn@-pk@eiL()%#;vuK?&Hm~uswR1O`%Mez2pG(o$=y)~1J~ry}26Io)gBU&Z;j9O- zolI;egK;t#CxdY^7$<{qG8iX=aWWVugK;u=a59gJlX*NinF4k)ada{Ty8MjAO+@l= z)z^&6RrmIK9GuGa%T@Qd{Jo#X(a9Vb?jZ$|lhuc~ng2E0{@=hj89FDvSx(l6bLYf% zGO?Wu#>rru493Y|oD9avV4Mub$zYre#>rru493Y|oD9avV4Mub$zYre#>rru493Y| zoD9avV4MuLlWAZl6EC_ub3^)@#Ib37Mk}#4FE~=4qZHq8j#ajySa3j%YbP5`XE!D~#`bH7|IYYSj;13bog)9UovybhdkgKE&vw4`+Rd?QCK@ z8;rBTI2(+!!8jX?v%xqUjI+Tw8;rBTgR^;DoXz9G*%Yv|iKDYA5S)$V!Pz`6&UK)1 z*UVoX7iUwwd7BWQ(Uh^-9%AKe_l3@hZ;`Y0;oLc~olR_KgK;((XM=Gz7-xfVHW+7v zaW)udgK;((XM=Gz7-xfVHW+7vaW)udgK;((XM=Gz7-xfVHW+7v?Q9y@*~AO|8_LNH zSpFPKpD*$+Ct_^W3n(|-6HH&9+E~Qs^!g(;Db+`6c9M6Rf8sHY#nvB8?ReKe^y=3& z*G(V&NUd4ncbAN-e^W!D`XlwaQ0;5${y!ocMMbVIR(&6T5R_cMhg=Fr9Q{G;WL+4z-bk5__IgRJttWJnam@+oI z*+y?f4usA<5IQHmL!IlxxpQK7PVCOXbPlF-Fr9v8Cu>zBrOTso)myt|=Jh@Df$W_LsBoCBeA4~EW( z?^NgdaPFMgofErrFr9y*&QyOb0Bo?q0l+;LY?cwxpQK7 zPVCOXbPlF-Fr9MG=Ul(^!Q;|7jpzCw!r`IJfuVB>Y<5RV=Nt%~dpLAXd{Uk3!?|-}cTVii!E_F$ zb1rOW&Urj^P62mL96P5#=p4yI=hlo%r@Xx$ht9cvX^h9Ea~jXPd7ThD zr;N>RUOMMM=-i{BbK-~9xjvjbCwAw=?i@_#U^)lWIhfADbPlF-Fr9rOW z&Urj^P62mL96P5#=p4yI=hlo%r@Xx$ht9cvX^h9Ea~jXPV|7C8oH91MW2JKrgw8z{ zIwyWyo$JH7b7FT+?9Rb-4yJQ3orCEdOy^)a2h%y2&cSpJ9y;f7>72(y=M-?~#IbV< zgwByXbZ*VKbjsW7ap;`um&SNpI;Zhm|C3g%a}J~lenL@Tv)e44bAZmJ|2{(6cmDY> X{YQlk@4mCx+P$*aSl*icqeK4(lVSfY diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/shifter.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/shifter.sdb deleted file mode 100644 index 5dd691e001bed2da25d54660ff2c4bc6c4dedd7f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1537 zcmaKs&1(}u6u{r)!`SG?ehAjuW;cn5)} zkA(*UPM-w)v;Tb$uflFISGu*-Tx&kBFE?&ubLm+@b;H-~Ejfc3fP*nF+EFdF-tK_l zF!X(p@<7e98=*8F)szQ^sn}r61~1y+ybWHm!OJ#y-U5qCu0LI^uTuAMYr4>)w9?Q@ z2Ua??(oa_U*-Ce;^rMx2uu>ITd$ZEeN(WXtOw+qGvxp8pr0p1%;@xq4B^?>6pxR>2WW}zURnoFN2fx G`2Pj(Wm>EN diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/sign@extension.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/sign@extension.sdb deleted file mode 100644 index 1744672bd5d83f65d182c6b832bc3e6e6fc7ed21..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1258 zcma)+L2DC16oB7k1Jz({DFNG}+0}Yz>!BCHgO?X{X{Oq&AYNtZV%coH3!X|1sF)@P zJ*cPMY}MK#6!D@$=@0Pkt*2h4{)6~tCSzlG2M2cZ=G*tanO$CH;-TxD0!V=d9zAxQ z;_=UgdjiU50DtfQ_=Z=dxM)j6l!n(gY+TLtt?PvM!WcztDo5ljb!D+9z z)m`syG@pXdGP)lO)uCd}lRPsOmxd~|<{}4YI5^9}c@EBT@CpYnGqBXnHXcOPh`vGp z)zn5y8B24PZnJcUr5{mUeUY-B_Blbep9+!!)38)}w*WIkmsxQgzM$PT7H6Zq&lJX(W>* zT!|LbIFj+#^uz0Ou&%nEv?wUZA%%{j(dQ|`=1WsJb;7OC~=Y!3xNbgc=3oF z;|5O=c3Q3GFrOwq(rT5}A3;5PX=-Tg{tyn%_q6~*Q~+O5`)H<|fOqMsj;H`{+X+@} zGv_guyEyq@B`etGcMd3{0H@Z^bJGvNSuWB+;i_e=e{@Zg?2tq#haD-pzwj; zZ&LBZ!H?l-OPy&`@OSU~H@KvBTNCX!N2i`m&5zt3pMa^+*~H}dmPwn)J`>q*A_q<6fQh_dBC`gv)%TWW3L^zvdVOg$ zXsc&r10%a-WOt10wvqj8WH*iMCnNjO$oheCZALaQvRg)Wr;)vjdsf2-rtx&_cbQ`{+E#p-ppvQ?^{PWo;TR5$$P zr02Wdm1Mr7OZ}p=D}QJ-jE=@`rKQXw2dq4#WT0(RJHws3=a2LxDL+cRMMfl5V zhQa!FDrH%{3f{-CEy|@L9POim``EzW+FEF`78F`@ zc*I%?8myTVYlhl76S1b_CDx3zwa{cOD17xCCU{dBiabLt-xram^C|L-w7k$HFDR7f zP~;hkJVPy?Rq$0GAv)k9&q&J)P4a?5c@9OMp~y4T@;Q;Wn&1KU^A!*Wxec=x75>Nd z5EpI|7m;Ec%gO@_K?i!|Xb}o-g-|t*;lIy`k@13+fP0#TIjqVqzFr(!oPRO@WMO9E zB@#90(*?CWzm~cjt)3x-tCujmwj5e;mag#)tnTN{R4Sn$r$f54(4`}IjH_ouuC4WA z)P~6cUV&s7*HVDF{lPlluQB&Bi^J03f-`yPl?ZvbhPjK}rBkQ8;x_=)~Vf{{Y0K B86p4x diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/top.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/top.sdb deleted file mode 100644 index bc4f41f875c6c5aa7b765c0fd18242f78b11831a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3105 zcmb7HOK%%h6ux7RYdfuzkOmM4V#iJ$=hY-opel-VN5;iAtt+V5@Q|WRi<*>Qz{<{; zW-9z(!4F{W1mqeaWx9ariHFh#(PM0fw3r#vm{Ytexg9cs}hTJ$$9daOl%)uO*>QQ>RPrbT@%I?$rSD0&C(*$6Iv7p7x4 z4Re!e2`}UMqTBDZGr1klZHYk_5P+xsmiwqHvYGMkuG1X0Z-Bbzb_T8P<&1EBzuOm& zGM;d~>zU#!^Xx~ME)L0O8G|yLP!BPjP{4sZVf^{i-~VQBG4=-k0O(hd{{E=NIA<64 zAapiI%XJUUdJkq%%w&kp6I!*c&v*sYx|9Ilvsd} z3-&#JyLOvSs?ktc^G2~mjy0X-P6Gd;d{Ndj=5wVSoOM9vvt)lW2Wgh9oKJ* zCp#Utqf3#pA%QMD58=Ue0+4CpI899JMcE>-7liO`H7L+fj)_!arbG{v zv2#o*|6fc#7hzz6POn2Y6K-^cadgYzrtDhv&i-!Mme5U9JlLzivp~hC;Kp_X`Iq3p zj1JqvIc^^Hy|x37sI$3@-M? zaIT3YZ?qjUaq#>WQlBmS=e=xOs;0u2j(mmubk9 z-y9TNlLFdfQb1OlgMR_CPty?q diff --git a/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/vgadisplaydriver.sdb b/Project.sim/sim_2/behav/xsim.dir/xil_defaultlib/vgadisplaydriver.sdb deleted file mode 100644 index 3c997ad5e71b91ba0306db4d3799808547cb6f66..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3244 zcma)9OK%%h6uuMNjg!=glXe9qcH+cI6GQ|;0;N>CRxqjq36&6=t^liuw(OBu`9(ZY z?N|bS05cO%aD|iwD;8CM0DE?<*dqE5hI76%GrnVPCA>(!^PTs@V|(SxQYNp|4~ky; zudhp)?DIeNK6cdgt4cqA`ulJCO?5e+T|B5CKRkX=d%tn0kL#Zon~g{H=HdO?@n>Ju z4{Pr}dP&bH^=z&jp8GAo)9Wh-@#ycfDOb&0rW<(Tu|DO2Fl#olVk5V0jX01tMh+5-9=RGSMSlJ6JJGQbvTiGX8_T0+;WMzM}vVLIg&B_K=_QJ}J zr`Zo_W+A=wr*s`-Z_#(hJ->V2>W{o$w{cfGd@)#W91mu^k-T`NUN_b5Aa|2bYV zAj3HHUnZ^d zL{TdpBh3wF&!^c{Vi$&Pw>PFG1??8=Pa>}(O^5Y4-yQSoGItu1^*-C#DBXZCm#Dbnn&@5kCEf%vA=uDs_bDWY9p3j9+&Xm`b{x#0Y zdzYL*aZaH*2~Y-+V+%5JGV7HMBMjeygaL}f2+gGnLg`Dfm|H&`_S=q>Ee4lacD2LU09wk_sTEDiDJ*MKme^DHQ;6V6LDV zB~hq=&pN6A(5yfRu0T*y0mM`VVo;#n7!`n&3II_6Rj`#*uurl1Eka(&A?KToqxwVo zv_!QxmA*wrRTy#kx)F)+03UAR#(?z|4#qW$_{wq=TP&-ZcLihVP)y!9pgC_LIGv#6?E>*CE*Vf}3K-9HCz&bV6+cX(EP!SfAvlYm zWUCNUQxOA|zHE?E0bpFgZc+g+?Dh1*Sj57>)8mEn15XwvB1X*^=FX z=F*l`j0>uw;goDNa*EFbVz^~GB}Ei9-zgr9QVZZ5eRhmmgP_;Jp}s1Ix}WZwMZHbl z;L!+BxQ_R@u$%};9#(*u>bj=yoRKRJOw5aM%@D(F)C_D-wPQ=QF!7=sO6};oae^%G zJH*@WR=OJ&(G7TX0~A(}LRjtwNV)+;Hx+avM%;~{q#KA)H^BB((vA2gsbY}j6n)Qjn#9edP686GO8l8n%A#2yfr^S=1gS_VDH5}@Gjq!P@#N>n=4JJ$TA6=iV{32gll8YZZ<(!)-I?vpcQ*Fc z-@JFpl$09IHqw5yAKmXBDvdhyKAcD~%#{z~P%>H*87R|qk!=@w#YJ9qkuSQ)mt17W zK~|&i{?7LLHjST+#s{s2PBwP311Fm}*&m$jnUn21+3%g~cTP5nowGUF*vSr@Y%?hsp z6n)Y=9{9nM7xm&eIE@~9Vblv>^;Q=ys3GsLyr|hV>dKs5R&vr3K2qlClOKOomzAoT zS16`NG35t`zA{D~eMb4UGWZ4Jr~H$C_yv2ua(NP$=X}sR=qA0S8~gi{{za;(&zSwP zP;ZIZ{v`Vxv9s~XAn!Y_rBrD9~6o{p9kFB zOa2m2qq&Ez)hDlC(v~EjlJ!9Ud+9EXuhiP_c z!t4Y>*b5oI8`SDZxOtr_UBno4Y09kRko%d7IWixydVHaXO_vGLYj_DaA|BXjM?rG{ zb1u)yeCFLer&J+Vs?2Ld@Fwy@4L380R^ZbW)Prqm3)J^Yo>B2VG!mbU_o| z=0X#O$OoUUq6zF#6M;Om1a)w^!m_lmL|T}rFWrGl722rOT&Ga}sAK}doTYz0{K4#> z(by#%9=zctEI?iitzQtGI^!cS#0cQix}k63A#4mI))Fhmn<#KDzYxi36t z^tM5=tB4nECDm#x9{Jd@wnfa^u8wQV5ZZ!In-~(dv5E>j&u8V&qD0E(ipwgRL5}3T zDnLHJK)c9*HH%?#+`|ssF(`GjDghmWMt_GV_@?Gv9gp!uCDPCQkr(Kns69 z&L&fb-$(8maJC2V_x`spcn$WtQ>R9Y<$Aeh-LxmMT%7A_K5K1ktuM592QdL~&}I7V z=9A{)@(LJIh`tYwp|;G9t}8|98twre?!W&57r;o%ZRAZIYptc_ZNPr` zlz@n)>*HfZdpyL~sbW8Ge!8%}vH*y%`iT1VCXpip6iM6VQLAEeXe|~Rq>!=8^u;|& z7*9#Ud8X|cHP8w)Ln3YiD38m8HYbP6Fz`;8Nby7_i}z_zFj6=iNG8WH0beHQ44AQ4 zX1N%X@_3t~%9`UEfVU#h6_!+?I4%%adBt8L`rQUhKkD(qJ zM|vwO)>{GYt*ofGxPSE);%8&Mg{a4Jw@B(?Ea};HA)Ig*Xs;_<$$o z`DCwek~b83R5W(6E>b1{4aNm}Xiru>D#;ex&q;2;WZ!ODx5vSYS{lKt4C5$s{S#dA?k->>c!>>`B25dNMsNbHDc3g d)8fCGkur1n0{o(PW@ls5JlWVV6WB-Z)IV+-o#Frh diff --git a/Project.sim/sim_2/behav/xsim.dir/xsim.svtype b/Project.sim/sim_2/behav/xsim.dir/xsim.svtype deleted file mode 100644 index 5142c798fef8e9d0c125e588c5b73fa4ec2baab0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8 Kcmd;JfB*mhNB{`{ diff --git a/Project.sim/sim_2/behav/xvlog.pb b/Project.sim/sim_2/behav/xvlog.pb deleted file mode 100644 index d4da740e3a1f8e9f31668e58fe200c36afc1da0a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10445 zcmds--)|d55XW)sICLwD)jmMOuOrY_Dl`|LLz4E1ibSGPMO%lY!l<0qcWZmoyW7+5 zoon;R4}su;f0Sngh+lJYY#JQUTr;QGJjII7H?tpocV>2G*+=Z1ZIK8a%1B0C%Tr-2 z1D;3~SxWmtTdHGyxP8~^$UqoYt1OqDt&QvFj0{tFOzGCrdgDf8ea-1T7gq+A+5-En z#ID|scrg4td*Kyx_)}};idnH_lqf5ZZO!!%zNsxPSL!z#>(|c@;C+3eWk-6>D{N^W zJ_f4mEcv3t(;&Gu{@{e{lMim$dq!wuALv*m;JUr7yfhS1V(fj{=f1Mq5t=lq;m4>I`-*zVr0`9{?SNkWJTVWRlGH{RF0MDnNX)V>)ZaB_H0{|=z z76HIMyTm8!50#$=Vzk(Dqh3G0*4Nm%i32CRx(cs`-%Q^8bCGBz+oMHGjJ1i!dYLUA z8b=`gy-1`HYtbUjwkOxwzN3@tLp;~l8T;D<1l(VWgnPjDY2kuoOxmUcyNd_A%&H^n z2&lgn3H331NDCFhxb%2HNA+VoRmdbq=KptCO;2_p^_>;gBl8HXKNYFgF59J5D-TjS zr1$YkeTkKC-`zvt{AS^F#nqeah25b^MELI|mS&&PLN&4*?F%nJnRFN)VP z`N6E;g;QuqD&;+Vz<8BCKXw4Y_m5L1IYd+@Kb@ISgoFZqfM@$Mt7OIzT)!$_w~BpA zo9#RmC0YdmsdDq4(Z((y7|&y#Ys)0$F|B~}<++Im2*UFi9ei52`JzK+9Km%SvzpHn z9`mF}7JI&_3rWF#Xk5>HShGn~1|gU?N@} zRAJWs0|kg{?9vDXL}mAhmWjx!FGBb#ezCeV97eE@lbogW7fBZAq|$7UVPf_A@TtxXq(`Fh59IA|VUQ)mNjHjT8Q zg+F5?8fX&vPX4e5I$lqBkEhprn75w3$@SwYPfY)LN$wH5^DL#33~jiE2bEG=^myOy d25rJ?8~LjE%r=7J1;%zF-)agE?kd(we*wehLnZ(K diff --git a/samples/guitar_hero/bmem_init.txt b/samples/guitar_hero/bmem_init.txt deleted file mode 100644 index 1283c7a..0000000 --- a/samples/guitar_hero/bmem_init.txt +++ /dev/null @@ -1,4352 +0,0 @@ -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -ddd -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -ddd -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -ddd -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -ddd -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -ddd -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -ddd -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -ddd -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -ddd -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -eee -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff -fff \ No newline at end of file diff --git a/samples/guitar_hero/dmem_init.txt b/samples/guitar_hero/dmem_init.txt deleted file mode 100644 index 72cf8de..0000000 --- a/samples/guitar_hero/dmem_init.txt +++ /dev/null @@ -1 +0,0 @@ -0 // data memory not used in this program \ No newline at end of file diff --git a/samples/guitar_hero/hero.asm b/samples/guitar_hero/hero.asm deleted file mode 100644 index e84d0cc..0000000 --- a/samples/guitar_hero/hero.asm +++ /dev/null @@ -1,116 +0,0 @@ -# Pong -# ================================== -# Author: Joshua Potter -# Date: 04/19/2015 - - -# Data Memory -# ---------------------------------- -# Note the board has a limited amount of space, so we try and make everything -# as compact as possible. -.data 0x2000 - - - -# Instruction Memory -# ---------------------------------- -# Be sure to set memory configuration Compact, Text at 0 -# Note data memory is offset at 0x2000, screen memory at 0x4000, and keyboard at 0x6000 -.text 0x0000 - add $0, $0, $0 # NOP - addi $sp, $0, 0x203c # top of the stack is the word at address [0x203c - 0x203f] -init: - addi $s0, $0, 1 # x velocity - addi $s1, $0, 40 # y velocity - addi $s2, $0, 580 # Ball Location - addi $s3, $0, 42 # Left Paddle Top Left - addi $s4, $0, 928 # Right Paddle Top Left - addi $s5, $0, 0xup # Up Button - addi $s6, $0, 0xdown # Down Button - addi $s7, $0, 0xspacebar # Spacebar -start: - lw $t0, 0x6000($0) # Check if spacebar pressed - bne $t0, $s7, main - addi $a0, $0, 1200 -main: # Main Game Loop - jal clear - jal update_ball - jal update_comp - jal update_player - jal draw - j main -end: - j end # No syscalls to exit - - -clear: # Reset up to $a0 screen locations to white - addi $sp, $sp, -4 - sw $a0, 0($sp) - addi $t0, $0, 4 -clear_loop: - addi $t1, $a0, 0x4000 # Screen memory begins at 0x4000 - addi $a0, $a0, -1 - sw $t0, 0($t1) - bne $a0, $0, reset_loop -clear_end: - lw $a0, 0($sp) - addi $sp, $sp, 4 - jr $ra - - -draw: # Draws the ball and paddles - addi $t0, $s3, 0 # Top left corners of each paddle - addi $t1, $s4, 0 - addi $t2, $0, 6 # Paddles are of height 7 characters -draw_paddles: - sw $0, 0($t0) # Black character code is 0 - sw $0, 0($t1) - addi $t0, $t0, 40 - addi $t1, $t1, 40 - addi $t2, $t2, -1 - bne $t2, $0, draw_paddles -draw_ball: - sw $s2, 0($t0) - jr $ra - - -update_ball: - add $s2, $s2, $s0 # Reposition's ball - add $s2, $s2, $s1 - jr $ra - - -update_comp: # Reposition's computer's paddle - jr $ra - - -update_player: # Repositions player's paddle - addi $a0, $0, 0 # Set so the paddle/ball doesn't move - lw $t0, 0x6000($0) - beq $t0, $s5, updatep_up - beq $t0, $s6, updatep_down -updatep_move: - add $s3, $s3, $a0 # Move player's paddle - jr $ra -updatep_up: - addi $a0, $0, -40 - j updatep_move -updatep_down: - addi $a0, $0, 40 - j updatep_move - - -pause: - addi $sp, $sp, -8 - sw $ra, 4($sp) - sw $a0, 0($sp) - sll $a0, $a0, 16 - beq $a0, $0, pse_done -pse_loop: - addi $a0, $a0, -1 - bne $a0, $0, pse_loop -pse_done: - lw $a0, 0($sp) - lw $ra, 4($sp) - addi $sp, $sp, 8 - jr $ra diff --git a/samples/guitar_hero/imem_init.txt b/samples/guitar_hero/imem_init.txt deleted file mode 100644 index 66e0368..0000000 --- a/samples/guitar_hero/imem_init.txt +++ /dev/null @@ -1,13 +0,0 @@ -00000020 -201d203c -20080003 -ac084000 -8c096000 -20010072 -10290001 -08000004 -20080001 -ac084000 -20080003 -ac084040 -0800000c diff --git a/samples/guitar_hero/regd_init.txt b/samples/guitar_hero/regd_init.txt deleted file mode 100644 index 463fdf1..0000000 --- a/samples/guitar_hero/regd_init.txt +++ /dev/null @@ -1,32 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 \ No newline at end of file diff --git a/samples/guitar_hero/smem_init.txt b/samples/guitar_hero/smem_init.txt deleted file mode 100644 index 2e3b713..0000000 --- a/samples/guitar_hero/smem_init.txt +++ /dev/null @@ -1,1200 +0,0 @@ -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 -00000 \ No newline at end of file